ロゴ
ユニオンペディア
コミュニケーション
Google Play で手に入れよう
新しい! あなたのAndroid™デバイスでユニオンペディアをダウンロードしてください!
インストール
ブラウザよりも高速アクセス!
 

ハードウェア記述言語と論理合成

ショートカット: 違い類似点ジャカード類似性係数参考文献

ハードウェア記述言語と論理合成の違い

ハードウェア記述言語 vs. 論理合成

ハードウェア記述言語(ハードウェアきじゅつげんご、hardware description language、HDL)は、デジタル回路、特に集積回路を設計するためのコンピュータ言語ないしドメイン固有言語(DSL)である。回路の設計、構成を記述する。処理を検証するための試験(テストベンチ)記述ができ、シミュレーションできる開発環境もある。 プログラミング言語との類似性が見られる機能がある言語もあることから、プログラミング言語の一種などとする誤解が非常に多いが、間違いである。また、プログラマブルロジックコントローラの記述に用いられるラダー言語は別のものと扱われている。. 論理合成(ろんりごうせい、logic synthesis)は、抽象的な回路の動作に関する記述(レジスタ転送レベルなど)から論理回路の実装設計を行う工程である。一般にVHDLやVerilogなどのハードウェア記述言語が使われる。ツールによっては、PAL や FPGA といったプログラマブルロジックデバイス向けの bitstream を生成する。また、ASIC向けの生成を行うツールもある。論理合成はEDAの一部である。.

ハードウェア記述言語と論理合成間の類似点

ハードウェア記述言語と論理合成は(ユニオンペディアに)共通で12ものを持っています: ASICメンター・グラフィックスレジスタ転送レベルプログラマブルロジックデバイスアルテラケイデンス・デザイン・システムズシノプシス論理回路FPGAVerilogVHDL有限オートマトン

ASIC

ASIC(application specific integrated circuit、特定用途向け集積回路)は電子部品の種別の1つで、特定の用途向けに複数機能の回路を1つにまとめた集積回路の総称である。通常は「エーシック」と発音され、表記する場合は日本でも「ASIC」である。.

ASICとハードウェア記述言語 · ASICと論理合成 · 続きを見る »

メンター・グラフィックス

メンター・グラフィックスは、電子系設計ソフトウェア(EDAツール)を開発、販売するアメリカに本部を置く多国籍企業である。エレクトロニクス誌によると2004年度、EDA産業で3位にランクされている。 1981年に創業、Idea Stationという名の論理回路入力用CADと論理シミュレーションまで行えるシステムを販売した。現在も主力からはずれるものの後継ソフト(Design Architect)が販売されている。本社はオレゴン州ウィルソンビルにある。 日本法人は1983年設立、上記Idea Stationをハードウェア(アポロコンピュータ)込みで販売した。アポロがヒューレット・パッカードに買収されて以降はソフトウェア販売のみを行うようになり、SUNなど複数のプラットフォームへの対応も進められた。この際、移植を容易にするため開発ツールとしてGNUプロジェクトのツールも採用された。あわせて各種ツールの共通の設計環境とすることを目指して Falcon Frameworkを開発した。GUIとしてはMotifに類似したデザインとなっており異なるプラットフォームでのユーザの操作の共通化を図ろうとしたものである。 その後メンターは他のEDAベンダーの買収を繰り返し商品系列を増やしていった。買収によって入手した代表的な製品にModelSim、Calibre などがある。 創業当時の競合企業としてバリッド社、デイジー社があったが、いずれも消滅しており、現在はシノプシス社、ケイデンス社が大きな競合会社である。.

ハードウェア記述言語とメンター・グラフィックス · メンター・グラフィックスと論理合成 · 続きを見る »

レジスタ転送レベル

レジスタ転送レベル(レジスタてんそうレベル、register transfer level、RTL)は、論理回路の動作記述などにおいて、「ゲートレベル」よりも一段抽象的な記述レベルである。ゲートレベルでは、組合せ論理回路の(すなわち、状態を持たない)ゲートのを記述するが、レジスタ転送レベルでは、状態を持つラッチ回路など順序回路に相当する最小の部分を「レジスタ」として抽象化(ブラックボックス化)する。その上で、論理回路の動作を、レジスタからレジスタへの転送と、(その転送中に組合せ論理回路を通すことで行われる)論理演算の組み合わせとして記述する。.

ハードウェア記述言語とレジスタ転送レベル · レジスタ転送レベルと論理合成 · 続きを見る »

プログラマブルロジックデバイス

プログラマブルロジックデバイス (programmable logic device: PLD) は、製造後にユーザの手許で内部論理回路を定義・変更できる集積回路である。.

ハードウェア記述言語とプログラマブルロジックデバイス · プログラマブルロジックデバイスと論理合成 · 続きを見る »

アルテラ

アルテラ(Altera Corporation、)はアメリカのプログラマブルロジックデバイスの代表的企業である。創立は1983年で本社はカリフォルニア州サンノゼにある。日本法人は日本アルテラ株式会社。.

アルテラとハードウェア記述言語 · アルテラと論理合成 · 続きを見る »

ケイデンス・デザイン・システムズ

イデンス・デザイン・システムズ(Cadence Design Systems, Inc、)は、アメリカの半導体開発用ソフトウェア(EDA)企業である。1988年にSDAシステムズ社とECAD社が合併して誕生した。 2004年時点で、ケイデンスは、世界の電子設計技術およびエンジニアリング・サービスの最大のサプライヤーである。ケイデンス製品およびサービスは半導体デバイス、コンピューター・システム、ネットワークの設備、通信機器、家電および他のエレクトロニクス製品の設計作業を加速し管理するために使用されている。2003年には約4,850人の従業員で11億ドルの収入をあげた。ケイデンスは、カリフォルニア州サンノゼに本社があり世界中に営業所、デザインセンターおよび研究施設を持っている。株式はナスダックで取引されている。 EDA製品は、集積回路のシステムデザイン、論理合成およびレイアウトを含む設計プロセス全体を扱っている。さらに、集積回路パッケージングおよびPCB設計用ツールを含む、他の関連する製品を持っている。これらのソフトウェア製品は、様々なタイプの設計業務をターゲットにした「プラットフォーム」を構成している。.

ケイデンス・デザイン・システムズとハードウェア記述言語 · ケイデンス・デザイン・システムズと論理合成 · 続きを見る »

シノプシス

ノプシス(Synopsys, Inc.、)は、電子系設計ソフトウェア (EDAツール) を開発・販売する企業である。アメリカ合衆国に本社を置いている。EDA業界におけるビッグ3の1つである。.

シノプシスとハードウェア記述言語 · シノプシスと論理合成 · 続きを見る »

論理回路

論理回路(ろんりかいろ、logic circuit)は、論理演算を行う電気回路及び電子回路である。真理値の「真」と「偽」、あるいは二進法の「0」と「1」を、電圧の正負や高低、電流の方向や多少、位相の差異、パルスなどの時間の長短、などで表現し、論理素子などで論理演算を実装する。電圧の高低で表現する場合それぞれを「」「」等という。基本的な演算を実装する論理ゲートがあり、それらを組み合わせて複雑な動作をする回路を構成する。状態を持たない組み合わせ回路と状態を持つ順序回路に分けられる。論理演算の結果には、「真」、「偽」の他に「不定」がある。ラッチ回路のdon't care, フリップフロップ回路の禁止が相当する。 ここでの論理は離散(digital)であるためディジタル回路を用いる。論理演算を行うアナログ回路、「アナログ論理」を扱う回路(どちらも「アナログ論理回路」)もある。 多値論理回路も量子コンピュータで注目されている。 電気(電子)的でないもの(たとえば流体素子や光コンピューティングを参照)もある。 以下では離散なデジタル回路を扱う。.

ハードウェア記述言語と論理回路 · 論理合成と論理回路 · 続きを見る »

FPGA

Altera Stratix IV GX FPGA FPGA(field-programmable gate array)は、製造後に購入者や設計者が構成を設定できる集積回路であり、広義にはPLD(プログラマブルロジックデバイス)の一種である。現場でプログラム可能なゲートアレイであることから、このように呼ばれている。.

FPGAとハードウェア記述言語 · FPGAと論理合成 · 続きを見る »

Verilog

Verilog(ヴェリログ)は、IEEE 1364として標準化されているハードウェア記述言語(Hardware Discription Language; HDL)である。最もよく使用されているのは、デジタル回路のレジスタ転送レベルの設計と検証である。また、アナログ回路やの検証や、の設計にも使用されている。 もともとVerilogは電子回路シミュレーションを行うシミュレータであり、それに使用する言語であった。文法は、プログラミング言語のC言語やPascalに似ている。 後継言語はSystemVerilogで、だいたいVerilogのスーパーセットである。System Verilogの規格と統合して、「IEEE/IEC 62530:2011 SystemVerilog - Unified Hardware Design, Specification, and Verification Language」と呼ばれる標準になっている。.

Verilogとハードウェア記述言語 · Verilogと論理合成 · 続きを見る »

VHDL

VHDLは、デジタル回路設計用の、ハードウェア記述言語(HDL: Hardware Description Language)の一種である。標準化は(現在は)IEEE/IECによる。主として論理回路の設計に、特にFPGAやASICなどの設計で使う。IEEEとIECで同一規格IEEE 1076-2008 VHDL Language Reference Manual/IEC 61691-1-1:2011 Behavioural languages - Part 1-1: VHDL Language Reference Manual を発行している。名前の由来は英語のVHSIC HDLの略で、VHSICは、very high speed integrated circuits(超高速集積回路)である。.

VHDLとハードウェア記述言語 · VHDLと論理合成 · 続きを見る »

有限オートマトン

有限オートマトン(finite automaton)または有限状態機械(finite state machine, FSM)とは、有限個の状態と遷移と動作の組み合わせからなる数学的に抽象化された「ふるまいのモデル」である。デジタル回路やプログラムの設計で使われることがあり、ある一連の状態をとったときどのように論理が流れるかを調べることができる。有限個の「状態」のうち1つの状態をとる。ある時点では1つの状態しかとらず、それをその時点の「現在状態」と呼ぶ。何らかのイベントや条件によってある状態から別の状態へと移行し、それを「遷移」と呼ぶ。それぞれの現在状態から遷移しうる状態と、遷移のきっかけとなる条件を列挙することで定義される。 有限オートマトンは様々な問題に応用でき、半導体設計の自動化、通信プロトコル設計、構文解析などの工学面での応用がある。生物学や人工知能研究では状態機械(群)を使って神経系をモデル化し、言語学では自然言語の文法をモデル化したりする。.

ハードウェア記述言語と有限オートマトン · 有限オートマトンと論理合成 · 続きを見る »

上記のリストは以下の質問に答えます

ハードウェア記述言語と論理合成の間の比較

論理合成が33を有しているハードウェア記述言語は、72の関係を有しています。 彼らは一般的な12で持っているように、ジャカード指数は11.43%です = 12 / (72 + 33)。

参考文献

この記事では、ハードウェア記述言語と論理合成との関係を示しています。情報が抽出された各記事にアクセスするには、次のURLをご覧ください:

ヘイ!私たちは今、Facebook上です! »