ロゴ
ユニオンペディア
コミュニケーション
Google Play で手に入れよう
新しい! あなたのAndroid™デバイスでユニオンペディアをダウンロードしてください!
インストール
ブラウザよりも高速アクセス!
 

ハードウェア記述言語

索引 ハードウェア記述言語

ハードウェア記述言語(ハードウェアきじゅつげんご、hardware description language、HDL)は、デジタル回路、特に集積回路を設計するためのコンピュータ言語ないしドメイン固有言語(DSL)である。回路の設計、構成を記述する。処理を検証するための試験(テストベンチ)記述ができ、シミュレーションできる開発環境もある。 プログラミング言語との類似性が見られる機能がある言語もあることから、プログラミング言語の一種などとする誤解が非常に多いが、間違いである。また、プログラマブルロジックコントローラの記述に用いられるラダー言語は別のものと扱われている。.

72 関係: AdaAHDLASIC加算器字下げスタイル形式的検証マイクロアーキテクチャメンター・グラフィックスラダー・ロジックレジスタ転送レベルトランジスタトリノブラックボックスブレークポイントプリプロセッサプロトタイピングプログラミング言語プログラマブルロジックデバイスプロセッサテキストエディタデバッグデジタル回路フリップフロップフローチャートドメイン固有言語アメリカ国防総省アルテラアルゴリズムインタプリタカーネギーメロン大学グラフィカルユーザインタフェースケイデンス・デザイン・システムズコンパイラコンピュータ言語コードレビューシミュレーションシノプシススクリプト言語ソースコードソフトウェアテストソフトウェア開発工程再構成可能コンピューティングC++C言語状態遷移図組み込みシステムEDIFEmacs表明要求仕様...論理合成論理回路集積回路FPGAHaskellJavaLolaMATLABOCamlPerlProperty Specification LanguagePythonRTLRubyScalaSFLSystemCSystemVerilogVerilogVHDL欧州連合有限オートマトン インデックスを展開 (22 もっと) »

Ada

Ada(エイダ)は、強力な言語機能を豊富に持ち、高度な型の体系をもつ、プログラミング言語の一つである。構文はAlgol系である。 史上初のプログラマとされるエイダ・ラブレスの名前にちなんでAdaと命名されているため、ADAと表記するのは誤り。 フリーのコンパイラとしては、GNATなどがある。.

新しい!!: ハードウェア記述言語とAda · 続きを見る »

AHDL

AHDLは、米アルテラ社がCPLDや FPGAの回路設計用に策定したハードウェア記述言語の一種である。 LPM(ライブラリ・パラメータ・モジュール)と呼ばれる仕様により、回路構成の厳密な管理がやりやすいという特徴を持つ。 このHDLは、自社及び同業他社であるザイリンクスなどが導入しているVHDL、Verilog HDLと競合関係にある。しかし採用している製品が限定的であるほか、技術情報が少なくIPなどの技術資産の蓄積も浅いことから、ハードウェア記述言語としてのシェアは低い状況にある。 特に日本国内では、課題として日本語による技術資料がほとんど存在しないため、アルテラ社製FPGAのシェアに反してマイナーな言語とされている。.

新しい!!: ハードウェア記述言語とAHDL · 続きを見る »

ASIC

ASIC(application specific integrated circuit、特定用途向け集積回路)は電子部品の種別の1つで、特定の用途向けに複数機能の回路を1つにまとめた集積回路の総称である。通常は「エーシック」と発音され、表記する場合は日本でも「ASIC」である。.

新しい!!: ハードウェア記述言語とASIC · 続きを見る »

加算器

加算器(かさんき、Adder)とは、加算を行う演算装置である。この記事ではデジタル回路によるものについて説明する。アナログ回路による加算回路の一例はオペアンプ#加算回路(電圧によるもの。他に電流の加算もある)を参照。.

新しい!!: ハードウェア記述言語と加算器 · 続きを見る »

字下げスタイル

字下げスタイルまたはインデントスタイル(英: Indent style)とは、プログラミングにおいてプログラムの構造を明らかにするために、コードのブロックの字下げをどうするかを決めたものである。本項ではC言語やそれに類似した言語を主に扱うが、他のプログラミング言語(特に括弧を使用してブロックを記述する言語)にも適用可能である。字下げスタイルはプログラミング作法の一部である。.

新しい!!: ハードウェア記述言語と字下げスタイル · 続きを見る »

形式的検証

形式的検証(けいしきてきけんしょう)とは、ハードウェアおよびソフトウェアのシステムにおいて形式手法や数学を利用し、何らかの形式仕様記述やプロパティに照らしてシステムが正しいことを証明したり、逆に正しくないことを証明することである。.

新しい!!: ハードウェア記述言語と形式的検証 · 続きを見る »

マイクロアーキテクチャ

マイクロアーキテクチャ(microarchitecture、短縮形で μarch とも)とは、用語の生まれた当初としてはマイクロプログラム方式におけるコンピュータ・アーキテクチャという意味であった。内容は実質的には変わらないが、マイクロプログラム方式をとらないプロセッサも増えた現在では、命令セットアーキテクチャより下位の、実装におけるアーキテクチャ、を指してそう呼んでいる。 具体的にはCPU、DSPなどのハードウェアの動作を完全に記述できるような電子回路の設計や実装を指す。学術的用語には「コンピュータ構成」もあるが、プロセッサ業界ではマイクロアーキテクチャという用語がよく使われる。 マイクロアーキテクチャと命令コードの設計(命令セットアーキテクチャ、ISA)は、共にコンピュータ設計の一部である。なおインテルの場合は、主に命令セットを「アーキテクチャ」、各マイクロプロセッサの設計(実装)を「マイクロアーキテクチャ」と呼ぶ場合が多い(例:IA-32インテル アーキテクチャ、Coreマイクロアーキテクチャ)。.

新しい!!: ハードウェア記述言語とマイクロアーキテクチャ · 続きを見る »

メンター・グラフィックス

メンター・グラフィックスは、電子系設計ソフトウェア(EDAツール)を開発、販売するアメリカに本部を置く多国籍企業である。エレクトロニクス誌によると2004年度、EDA産業で3位にランクされている。 1981年に創業、Idea Stationという名の論理回路入力用CADと論理シミュレーションまで行えるシステムを販売した。現在も主力からはずれるものの後継ソフト(Design Architect)が販売されている。本社はオレゴン州ウィルソンビルにある。 日本法人は1983年設立、上記Idea Stationをハードウェア(アポロコンピュータ)込みで販売した。アポロがヒューレット・パッカードに買収されて以降はソフトウェア販売のみを行うようになり、SUNなど複数のプラットフォームへの対応も進められた。この際、移植を容易にするため開発ツールとしてGNUプロジェクトのツールも採用された。あわせて各種ツールの共通の設計環境とすることを目指して Falcon Frameworkを開発した。GUIとしてはMotifに類似したデザインとなっており異なるプラットフォームでのユーザの操作の共通化を図ろうとしたものである。 その後メンターは他のEDAベンダーの買収を繰り返し商品系列を増やしていった。買収によって入手した代表的な製品にModelSim、Calibre などがある。 創業当時の競合企業としてバリッド社、デイジー社があったが、いずれも消滅しており、現在はシノプシス社、ケイデンス社が大きな競合会社である。.

新しい!!: ハードウェア記述言語とメンター・グラフィックス · 続きを見る »

ラダー・ロジック

ラダー図(自己保持回路) ラダー・ロジックまたはラダー言語(ラダーげんご)は論理回路を記述するための手法で、現在多くのプログラマブルロジックコントローラ(PLC)で採用されているプログラム言語である。ラダー図という場合もある。本来は、リレーによる論理回路を記述するために考案されたものである。ラダーという名前は、この言語のプログラムが2本の並行するレール(母線)とその間に渡されるラングによって梯子(ラダー)のように見えることに由来する。ラダー言語はハードウェア記述言語とは別のものと扱われている。.

新しい!!: ハードウェア記述言語とラダー・ロジック · 続きを見る »

レジスタ転送レベル

レジスタ転送レベル(レジスタてんそうレベル、register transfer level、RTL)は、論理回路の動作記述などにおいて、「ゲートレベル」よりも一段抽象的な記述レベルである。ゲートレベルでは、組合せ論理回路の(すなわち、状態を持たない)ゲートのを記述するが、レジスタ転送レベルでは、状態を持つラッチ回路など順序回路に相当する最小の部分を「レジスタ」として抽象化(ブラックボックス化)する。その上で、論理回路の動作を、レジスタからレジスタへの転送と、(その転送中に組合せ論理回路を通すことで行われる)論理演算の組み合わせとして記述する。.

新しい!!: ハードウェア記述言語とレジスタ転送レベル · 続きを見る »

トランジスタ

1947年12月23日に発明された最初のトランジスタ(複製品) パッケージのトランジスタ トランジスタ(transistor)は、増幅、またはスイッチ動作をさせる半導体素子で、近代の電子工学における主力素子である。transfer(伝達)とresistor(抵抗)を組み合わせたかばん語である。によって1948年に名づけられた。「変化する抵抗を通じての信号変換器transfer of a signal through a varister または transit resistor」からの造語との説もある。 通称として「石」がある(真空管を「球」と通称したことに呼応する)。たとえばトランジスタラジオなどでは、使用しているトランジスタの数を数えて、6石ラジオ(6つのトランジスタを使ったラジオ)のように言う場合がある。 デジタル回路ではトランジスタが電子的なスイッチとして使われ、半導体メモリ・マイクロプロセッサ・その他の論理回路で利用されている。ただ、集積回路の普及に伴い、単体のトランジスタがデジタル回路における論理素子として利用されることはほとんどなくなった。一方、アナログ回路中では、トランジスタは基本的に増幅器として使われている。 トランジスタは、ゲルマニウムまたはシリコンの結晶を利用して作られることが一般的である。そのほか、ヒ化ガリウム (GaAs) などの化合物を材料としたものは化合物半導体トランジスタと呼ばれ、特に超高周波用デバイスとして広く利用されている(衛星放送チューナーなど)。.

新しい!!: ハードウェア記述言語とトランジスタ · 続きを見る »

トリノ

トリノ(Torino)は、イタリア共和国ピエモンテ州にある都市で、その周辺地域を含む人口約87万人の基礎自治体(コムーネ)。ピエモンテ州の州都であり、トリノ県の県都。イタリア第4の人口規模を持つ。都市圏の人口は約170万人。一時は100万都市だったが昨今は人口減少が著しい。 ミラノに次ぐイタリア第2の工業都市であり、自動車工業の拠点である。近代にはサルデーニャ王国の首都が置かれた。サヴォイア王家の王宮群は世界遺産に登録されている。.

新しい!!: ハードウェア記述言語とトリノ · 続きを見る »

ブラックボックス

ブラックボックス (Black box) とは、内部の動作原理や構造を理解していなくても、外部から見た機能や使い方のみを知っていれば十分に得られる結果を利用する事のできる装置や機構の概念。転じて、内部機構を見ることができないよう密閉された機械装置を指してこう呼ぶ。.

新しい!!: ハードウェア記述言語とブラックボックス · 続きを見る »

ブレークポイント

Eclipseのデバッグインタフェースで、プログラムがブレークポイントで停止している様子 ブレークポイント(breakpoint)は、ソフトウェア開発のデバッグ作業において実行中のプログラムを意図的に一時停止させる箇所である。ブレークポイントの指定機能は多くのデバッガに備えられており、これを用いることでプログラムの任意箇所への到達を自動的に捕捉できる。ブレークポイントでの停止後、プログラマは通常のデバッグ作業同様に実行環境(メモリ、レジスタ、ログ、ファイルなど)を観察し、プログラムが期待通りに機能しているかどうかを判断する。 通常、ブレークポイントにはプログラムの実行を中断させるかどうかを決定する1つ以上の条件を設定できる。 最も一般的なブレークポイントは、指定した命令を実行する前にプログラムの実行を停止する。これを「命令ブレークポイント」などと呼ぶ。 また、メモリの特定領域の読み込み、書き込み、更新をトリガーとして停止させる場合もある。これを「データブレークポイント」あるいは「ウォッチポイント」などと呼ぶ。 他にも時刻を指定する方式のブレークポイント、キー入力などをトリガーとするブレークポイントがある。 CPUの多くはブレークポイントをハードウェアでサポートしている。このハードウェアには、分岐遅延スロットにある命令にはブレークポイントを設定できないなどといった制限がある場合もある。そのような制限はマイクロアーキテクチャに起因するもので、プロセッサによって様々である。大まかに言えば、ブレークポイントに到達すると例外を発生し、それによってデバッグ対象プロセスを実行できない状態に遷移させ、デバッガプロセスに制御を移す。 ハードウェアがサポートしていない場合、デバッガでソフトウェア的にブレークポイントを実装する必要がある。命令ブレークポイントの場合、単にブレークポイントを設定した命令を別の命令に置換すればよい。しかし、データブレークポイントをソフトウェアで実現しようとすると、デバッグ対象アプリケーションの性能が大幅に低下することが多い。 プログラミング言語の実装によっては、デバッグ機能を他のプログラムに公開している場合もある。例えば、FORTRANの一部の方言には AT 文があるが、これは元々は命令ブレークポイントのためのものだった。Pythonには Python プログラムからアクセス可能なデバッガが実装されている。このような機能を乱用するとCOMEFROM文のような機能が実装できる。.

新しい!!: ハードウェア記述言語とブレークポイント · 続きを見る »

プリプロセッサ

プリプロセッサ (preprocessor) とは、一般にある処理を行うソフトウェアに対して、データ入力やデータ整形などの準備的な処理を行うソフトウェアのことである。特にコンパイラに対して使うことが多く、ここではそれを中心に述べる。 他の分野の例としては、CADやCAEのデータ処理がある。またワープロソフトウェアにおける漢字変換ソフトウェアもプリプロセッサの一例である。.

新しい!!: ハードウェア記述言語とプリプロセッサ · 続きを見る »

プロトタイピング

プロトタイピング(Prototyping)とは、実働するモデル(プロトタイプ)を早期に製作する手法およびその過程を意味する。その目的は、設計を様々な観点から検証する、機能やアイデアを形にすることでユーザーから早めにフィードバックを得るなど、様々である。プロトタイピングはシステム設計工程の一部として組み込まれることも多く、それによってプロジェクトのリスクと費用を低減させると考えられている。反復型開発では1つ以上のプロトタイプが作られ、欠陥や問題が徐々に解決されていく。プロトタイプの改善が十分なされ、機能/堅牢性/製造の容易さといった設計目標に達したとき、製品としての製造が可能となる。.

新しい!!: ハードウェア記述言語とプロトタイピング · 続きを見る »

プログラミング言語

プログラミング言語(プログラミングげんご、programming language)とは、コンピュータプログラムを記述するための形式言語である。なお、コンピュータ以外にもプログラマブルなものがあることを考慮するならば、この記事で扱っている内容については、「コンピュータプログラミング言語」(computer programming language)に限定されている。.

新しい!!: ハードウェア記述言語とプログラミング言語 · 続きを見る »

プログラマブルロジックデバイス

プログラマブルロジックデバイス (programmable logic device: PLD) は、製造後にユーザの手許で内部論理回路を定義・変更できる集積回路である。.

新しい!!: ハードウェア記述言語とプログラマブルロジックデバイス · 続きを見る »

プロセッサ

プロセッサ は、コンピュータシステムの中で、ソフトウェアプログラムに記述された命令セット(データの転送、計算、加工、制御、管理など)を実行する(=プロセス)ためのハードウェアであり、演算装置、命令や情報を格納するレジスタ、周辺回路などから構成される。内蔵されるある程度の規模の記憶装置までを含めることもある。プロセッサー、プロセサ、プロセッシングユニット、処理装置(しょりそうち)ともいう。「プロセッサ」は処理装置の総称で、システムの中心的な処理を担うものを「CPU()」(この呼称はマイクロプロセッサより古くからある)、集積回路に実装したものをマイクロプロセッサ、またメーカーによっては(モトローラなど)「MPU()」と呼んでいる。 プロセッサの構成要素の分類として、比較的古い分類としては、演算装置と制御装置に分けることがある。また、理論的な議論では、厳密には記憶装置であるレジスタすなわち論理回路の用語で言うところの順序回路の部分を除いた、組み合わせ論理の部分のみを指すことがある(状態機械モデルと相性が悪い)。の分類としては、実行すべき命令を決め、全体を制御するユニットと、命令を実行する実行ユニットとに分けることがある。.

新しい!!: ハードウェア記述言語とプロセッサ · 続きを見る »

テキストエディタ

テキストエディタ(text editor)とはコンピュータで文字情報(テキスト)のみのファイル、すなわちテキストファイルを作成、編集、保存するためのソフトウェア(プログラム)である。一般的に、文字情報の入力、削除、コピー、貼り付け、検索、置換、整形などの機能を備えている。Windowsのメモ帳や、macOSのテキストエディット、UNIXのvi、Emacsなど、多くのオペレーティングシステム (OS) やデスクトップ環境に最初から装備されている。.

新しい!!: ハードウェア記述言語とテキストエディタ · 続きを見る »

デバッグ

デバッグ(debug)とは、コンピュータプログラムや電気機器中のバグ・欠陥を発見および修正し、動作を仕様通りのものとするための作業である。サブシステムが密結合であると、1箇所の変更が別の箇所でのバグを作り出すので、バグの修正がより困難となる。.

新しい!!: ハードウェア記述言語とデバッグ · 続きを見る »

デジタル回路

デジタル回路(デジタルかいろ。英: digital circuit - ディジタル回路)は、2つの不連続な電位範囲を情報の表現に用いる電子回路で、論理回路の実現法のひとつである。電位帯内であれば信号の状態は同じものとして扱われる。信号レベルが公差、減衰、ノイズなどで若干変動したとしても、しきい値の範囲内ならば無視され、いずれかの状態として扱われる。 通常は2つの状態をとり、0Vに近い電圧と、十分にマージンを取った電源電圧より低い5Vや3V、1.2Vといった電圧で表される。これらはそれぞれ「Low」「High」、又は「L」「H」と表現される。一般には Low を0や偽、High を1や真に対応させることが多い(正論理)が、諸事情により逆に対応させる(負論理)こともある。以上はトランジスタベースの現在広く使われている回路の場合で、真空管による回路など、電圧や方式は他にも多種ある。.

新しい!!: ハードウェア記述言語とデジタル回路 · 続きを見る »

フリップフロップ

''R1, R2''.

新しい!!: ハードウェア記述言語とフリップフロップ · 続きを見る »

フローチャート

フローチャート (flowchart、流れ図) は、プロセスの各ステップを箱で表し、流れをそれらの箱の間の矢印で表すことで、アルゴリズムやプロセスを表現する図である。アルゴリズムやプロセスについて、単にその順序だけを示すものであり、全体から詳細へというような「段階的」な説明ではない(ないし、記述者が意識してそのような階層を作る必要がある)。また、データフロー図と対比すると、より重要である、データの流れをフローチャートは表すことがなく、操作を順に示すことでデータの流れを暗示する。しかし、フローチャートは様々な分野の工程の解析・設計・文書化・管理に用いられている.

新しい!!: ハードウェア記述言語とフローチャート · 続きを見る »

ドメイン固有言語

ドメイン固有言語(ドメインこゆうげんご、domain-specific language、DSL)とは、特定のタスク向けに設計されたコンピュータ言語である。 DSL は一種類のタスクをうまく実行することに集中したものであり、古くから存在した。何らかのプログラミング言語それ自体の構文と処理系を利用する internal DSL(あるいは embedded DSL)と、独立した構文と処理系を持つ external DSL に大別されるが、そのいずれにしても近年の、自由度と機能が高いプログラミング言語により手軽に扱えるようになったことから広まっている。ドメイン固有モデリングの支持者によれば、ドメイン固有モデリングの発達と共にDSLという用語も広く知られるようになってきた、とされる。実際にはドメイン固有言語はモデリングとは特別な関係が何もあるわけでもなく(ドメイン固有モデリングのためのドメイン固有言語、といったものはあるが)、モデリング以外にもあらゆる分野のための言語がある。 例えば、ハードウェア記述言語のVerilog HDLやVHDL、表計算ソフトのマクロ、データベースへの問い合わせ言語(SQLなど)、文脈自由文法を記述するBNFや正規文法を記述する正規表現、図を作成する言語を構築する 、音響や音楽の合成用のCsound、グラフ(ネットワーク)描画システムGraphvizのDOT言語、依存関係解決用のmakeなどがある。.

新しい!!: ハードウェア記述言語とドメイン固有言語 · 続きを見る »

アメリカ国防総省

アメリカ合衆国国防総省(アメリカがっしゅうこくこくぼうそうしょう、、略称:DoD)は、アメリカ合衆国の国防省である。アメリカ軍の七武官組織のうち、沿岸警備隊、アメリカ公衆衛生局士官部隊、合衆国海洋大気局士官部隊を除く陸軍、海軍、空軍、海兵隊の4つの軍を傘下に収める。陸海空軍の各省の統括組織であるため、日本では「国防総省」と訳されることが多いが、単に「国防省」とされることもある。2015年現在、同国の官庁の中で最大規模の組織となっている。 本庁舎は、五角形の形をしていることからペンタゴンと呼ばれている。アメリカ合衆国大統領の官邸組織がホワイトハウスと呼ばれるように、ペンタゴンという名称自体が国防総省を指す呼称となっている。.

新しい!!: ハードウェア記述言語とアメリカ国防総省 · 続きを見る »

アルテラ

アルテラ(Altera Corporation、)はアメリカのプログラマブルロジックデバイスの代表的企業である。創立は1983年で本社はカリフォルニア州サンノゼにある。日本法人は日本アルテラ株式会社。.

新しい!!: ハードウェア記述言語とアルテラ · 続きを見る »

アルゴリズム

フローチャートはアルゴリズムの視覚的表現としてよく使われる。これはランプがつかない時のフローチャート。 アルゴリズム(algorithm )とは、数学、コンピューティング、言語学、あるいは関連する分野において、問題を解くための手順を定式化した形で表現したものを言う。算法と訳されることもある。 「問題」はその「解」を持っているが、アルゴリズムは正しくその解を得るための具体的手順および根拠を与える。さらに多くの場合において効率性が重要となる。 コンピュータにアルゴリズムをソフトウェア的に実装するものがコンピュータプログラムである。人間より速く大量に計算ができるのがコンピュータの強みであるが、その計算が正しく効率的であるためには、正しく効率的なアルゴリズムに基づいたものでなければならない。.

新しい!!: ハードウェア記述言語とアルゴリズム · 続きを見る »

インタプリタ

インタプリタ(interpreter)とは、プログラミング言語で書かれたソースコードないし中間表現を逐次解釈しながらするプログラムのこと。.

新しい!!: ハードウェア記述言語とインタプリタ · 続きを見る »

カーネギーメロン大学

ーネギーメロン大学(英語: Carnegie Mellon University)は、ペンシルベニア州ピッツバーグに本部を置くアメリカ合衆国屈指の名門私立研究大学である。1900年に設立され、略称はCMU。大学のモットーは、"My heart is in the work (私の心は仕事の中にある)"(創立者アンドリュー・カーネギー)。 美術・音楽・文学・科学の最終形は、この四つが一つに成っている形である。アンドリュー・カーネギーのこの考えに沿って、アートとテクノロジーのバランスと融合を重んじた高等教育をCMUは現在も精力的に実践していると言える。日本では理工系が強い大学で知られ、CMUの名はマサチューセッツ工科大学(MIT)、カリフォルニア工科大学(CalTech)とともにアメリカの名門工科大学の御三家の一つとしてあまりにも有名。 その一方で藝術、人文・社会科学、公共政策学・情報学、経営学(MBA)の分野においても、常に全米あるいは世界のトップクラスにランキングされているという事実を認識することで、MITやCalTechのように一概に工科大学とは言えない、総合大学としてのCMUの全体像を正しく掴むことができる。著名な賞を受賞したCMU関係者の数も、この全体像を反映した結果となっている。 ノーベル賞20名、チューリング賞12名、エミー賞52名、アカデミー賞10名、トニー賞44名、等々。.

新しい!!: ハードウェア記述言語とカーネギーメロン大学 · 続きを見る »

グラフィカルユーザインタフェース

GUIを提供するソフトウェアの1つ、GNOME グラフィカルユーザインタフェース('''G'''raphical '''U'''ser '''I'''nterface、GUI)は、コンピュータグラフィックスとポインティングデバイスなどを用いる、グラフィカル(ビジュアル)であることを特徴とするユーザインタフェース。キャラクタユーザインタフェース (CUI) やテキストユーザインタフェース (TUI) と対比して語られることが多い。.

新しい!!: ハードウェア記述言語とグラフィカルユーザインタフェース · 続きを見る »

ケイデンス・デザイン・システムズ

イデンス・デザイン・システムズ(Cadence Design Systems, Inc、)は、アメリカの半導体開発用ソフトウェア(EDA)企業である。1988年にSDAシステムズ社とECAD社が合併して誕生した。 2004年時点で、ケイデンスは、世界の電子設計技術およびエンジニアリング・サービスの最大のサプライヤーである。ケイデンス製品およびサービスは半導体デバイス、コンピューター・システム、ネットワークの設備、通信機器、家電および他のエレクトロニクス製品の設計作業を加速し管理するために使用されている。2003年には約4,850人の従業員で11億ドルの収入をあげた。ケイデンスは、カリフォルニア州サンノゼに本社があり世界中に営業所、デザインセンターおよび研究施設を持っている。株式はナスダックで取引されている。 EDA製品は、集積回路のシステムデザイン、論理合成およびレイアウトを含む設計プロセス全体を扱っている。さらに、集積回路パッケージングおよびPCB設計用ツールを含む、他の関連する製品を持っている。これらのソフトウェア製品は、様々なタイプの設計業務をターゲットにした「プラットフォーム」を構成している。.

新しい!!: ハードウェア記述言語とケイデンス・デザイン・システムズ · 続きを見る »

コンパイラ

ンパイラ(英:compiler)とは、コンピュータ・プログラミング言語の処理系(言語処理系)の一種で、高水準言語によるソースコードから、機械語に(あるいは、元のプログラムよりも低い水準のコードに)変換するプログラムである。.

新しい!!: ハードウェア記述言語とコンパイラ · 続きを見る »

コンピュータ言語

ンピュータ言語(コンピュータげんご)とは、コンピュータにおいて用いられる言語の総称である。一般に人工言語で、もっぱら形式言語である(親しみやすさなどの目的で、自然言語風にデザインされることもある)。 プログラムを記述するためのプログラミング言語の一群が最も有名であり、そのため「コンピュータ言語」と「プログラミング言語」は同じ意味で使われることもある。しかし、コンピュータ言語としては他にもハードウェア記述言語や、マークアップ言語のようなデータ記述言語などがあり、それらは「プログラミング言語」ではない。.

新しい!!: ハードウェア記述言語とコンピュータ言語 · 続きを見る »

コードレビュー

ードレビュー(Code review)は、ソフトウェア開発工程で見過ごされた誤りを検出・修正することを目的としてソースコードの体系的な検査(査読)を行う作業のこと。.

新しい!!: ハードウェア記述言語とコードレビュー · 続きを見る »

シミュレーション

ミュレーション()は、何らかのシステムの挙動を、それとほぼ同じ法則に支配される他のシステムやコンピュータなどによって模擬すること広辞苑第6版。simulationには「模擬実験」や「模擬訓練」という意味もある。なお「シミュレイション」と表記することもまれにある。.

新しい!!: ハードウェア記述言語とシミュレーション · 続きを見る »

シノプシス

ノプシス(Synopsys, Inc.、)は、電子系設計ソフトウェア (EDAツール) を開発・販売する企業である。アメリカ合衆国に本社を置いている。EDA業界におけるビッグ3の1つである。.

新しい!!: ハードウェア記述言語とシノプシス · 続きを見る »

スクリプト言語

リプト言語(スクリプトげんご、scripting language)とは、アプリケーションソフトウェアを作成するための簡易的なプログラミング言語の一種を指す。 スクリプト(英語で「台本・脚本」の意味)とは、その簡易的な言語記述方法を指してそう呼ばれるようになった。.

新しい!!: ハードウェア記述言語とスクリプト言語 · 続きを見る »

ソースコード

青で示されているのが有効なコードである。 ソースコード(source code)とは、コンピュータプログラミング言語で書かれた、コンピュータプログラムである文字列(テキストないしテキストファイル)のことである。.

新しい!!: ハードウェア記述言語とソースコード · 続きを見る »

ソフトウェアテスト

フトウェアテスト()は、コンピュータのプログラムから仕様にない振舞または欠陥(バグ)を見つけ出す作業のことである。ソフトウェアテストで見つかったプログラム中の欠陥を修正する作業をデバッグという。ソフトウェアテストに成功するとは、テストで欠陥が発見されるか、規定した試験項目にすべて合格するか、規定した品質目標に到達することである。目標とした品質には、規定した試験項目にすべて合格することもある。例えば、OS, プログラミング言語では、仕様を満たしているかどうかの適合試験を規定している。ソフトウェアテストでは、欠陥が存在することを示すことはできるが、欠陥が存在しないことは証明できない。ソフトウェアに仕様にない振舞がないことを保証する作業を証明といい、証明用のシステム、証明しやすい言語も多数存在している。本項では動的なソフトウェアテストを中心に扱う。.

新しい!!: ハードウェア記述言語とソフトウェアテスト · 続きを見る »

ソフトウェア開発工程

フトウェア開発工程(ソフトウェアかいはつこうてい、Software Development Process)とは、ソフトウェア製品の開発の構造を意味する。ソフトウェアライフサイクル、ソフトウェア開発プロセス、ソフトウェアプロセスもほぼ同義語である。開発工程にはいくつかのモデルがあり、開発工程内の各種タスク・活動のための手法を提案している。.

新しい!!: ハードウェア記述言語とソフトウェア開発工程 · 続きを見る »

再構成可能コンピューティング

再構成可能コンピューティング(さいこうせいかのう-、Reconfigurable Computing)は、ソフトウェアの持つ柔軟性とFPGAなどの高度に柔軟な高速コンピューティング構造による高性能ハードウェア処理を組合わせたコンピュータ・アーキテクチャである。一般的なマイクロプロセッサを使った場合との根本的な違いは、制御フローに加えて実際のデータ経路を変更する能力があることである。一方、ASICなどの専用ハードウェアとの主な違いは、再構成可能な構造に動作中でも新たな回路構成を「ロード」することができる適応能力である。.

新しい!!: ハードウェア記述言語と再構成可能コンピューティング · 続きを見る »

C++

C++(シープラスプラス)は、汎用プログラミング言語の一つである。日本語では略してシープラプラ、シープラなどとも呼ばれる。.

新しい!!: ハードウェア記述言語とC++ · 続きを見る »

C言語

C言語(シーげんご)は、1972年にAT&Tベル研究所のデニス・リッチーが主体となって開発したプログラミング言語である。英語圏では単に C と呼んでおり、日本でも文書や文脈によっては同様に C と呼ぶことがある。.

新しい!!: ハードウェア記述言語とC言語 · 続きを見る »

状態遷移図

態遷移図(じょうたいせんいず、State Transition Diagram)は、有限オートマトンなどの状態機械について、その各状態を頂点とし、状態から状態への各遷移を辺としたグラフ構造に注目して、グラフィカルに表現した図である。他の表現手法として状態遷移表などがある。 状態遷移図にはいくつかの異なる形式のものがある。対象の性質や用途などによって使い分けることもある。.

新しい!!: ハードウェア記述言語と状態遷移図 · 続きを見る »

組み込みシステム

組み込みシステム(くみこみシステム、英: Embedded system)とは、特定の機能を実現するために家電製品や機械等に組み込まれるコンピュータシステムのこと。.

新しい!!: ハードウェア記述言語と組み込みシステム · 続きを見る »

EDIF

EDIF(イーディフ、イーディーアイエフ、Electronic Design Interchange Format)は電子設計データ交換用のフォーマット(データ形式)である。電子回路の回路図またはネットリスト(配線情報)を表現する中立のフォーマットとして使用されている。.

新しい!!: ハードウェア記述言語とEDIF · 続きを見る »

Emacs

Emacs (イーマックス、)は、その拡張性を特徴としたテキストエディタのファミリーである。Emacsの中で最も広く使われている派生物はGNU Emacsであるが、そのマニュアルにはEmacsを「the extensible, customizable, self-documenting, real-time display editor」(拡張およびカスタマイズが可能で、自己文書化を行い、リアルタイム表示を行うエディタ)であると説明されている。最初のEmacs開発が1970年代中盤に開始されてから、その直系の子孫であるGNU Emacsが製作され、その開発がも続いている。 Emacsはユーザインタフェースと10,000を超える組み込みコマンドを持ち、ユーザーは作業自動化のためにこれらのコマンドをマクロと組み合わせることができる。さらに深い拡張性を提供するLISPプログラミング言語の方言であるEmacs Lisp (ELisp) はEmacs実装の主な特徴であり、ELispでユーザーや開発者はEmacs用の新しいコマンドやアプリケーションを書くことができる。Emacsの拡張機能として電子メール、ファイル、アウトライン、およびRSSフィードが書かれており、それ以外にもELIZA、ポン、ライフゲーム、ヘビゲーム、およびテトリスのクローンもある。ユーザーの中にはEmacs内部からテキスト編集だけでなくほとんど全ての作業を行うことができることに気づいた者もいる。 原典であるEMACSは1972年にCarl Mikkelson、、およびガイ・L・スティール・ジュニアらによりTECOエディタ用のEditor MACroSのセットとして書かれたものであり、TECOマクロエディタの概念にインスパイアされている。 最も有名かつ最も移植されたEmacsは、ストールマンによってGNUプロジェクトのために作成されたGNU Emacsである。XEmacsは1991年にGNU Emacsからフォークされた派生物である。GNU EmacsとXEmacsは類似のLISP方言を使い、互いに互換性のある部分が大半である。 Emacsはvi (Vim) と並びUNIX文化における伝統的なエディタ戦争の主要な当事者の2つである。Emacsは未だ開発中であるオープンソースプロジェクトの中で最古のものである。.

新しい!!: ハードウェア記述言語とEmacs · 続きを見る »

表明

表明(ひょうめい、assertion)とは、プログラミングにおける概念のひとつであり、そのプログラムの前提条件を示すのに使われる。アサーションとも呼ばれる。表明は、プログラムのその箇所で必ず真であるべき式の形式をとる。多くの言語ではそのような前提条件のチェックに表明を使用するが、設計上の判断を文書化するのに使う場合もある。表明が偽となった場合、プログラムにバグが潜在していることを示している。これを「表明違反; assertion failure」と呼ぶ。表明を言語構文や標準ライブラリとしてサポートするプログラミング言語も存在する。 プログラマは、開発過程でソースコードに表明を追加する。デバッグを単純化し、問題を早期に検出するためである。表明違反はバグを示していることが多いため、表明の実装では問題の元を示すために追加情報を表示するようになっていることが多い(ソースコードのファイル名と行番号、スタックトレースなど)。ほとんどの実装では、そのプログラムの実行が即座に停止する。.

新しい!!: ハードウェア記述言語と表明 · 続きを見る »

要求仕様

要求仕様(ようきゅうしよう、Requirements Specification)とは、工学分野において特定の製品やサービスがどうあるべきかを記述する文書を指す。主にシステム工学とソフトウェア工学で使われる用語である。英語のrequirementからリクワイアメント(リクワイヤメント)ともいう。 従来からの工学的手法では、要求仕様を入力として製品開発における設計工程が行われる。 要求仕様作成工程の前に一般に実現可能性調査(feasibility study)や概念的分析の工程が置かれることがある。要求仕様作成工程は、要求収集(関係者からのヒアリングなど)、要求分析(一貫性と完全性の検証)、要求定義(開発者に要求を理解させるための文書を作成)、要求仕様記述(要求と設計の橋渡しとなる文書を作成)の各工程にさらに分けることができる。.

新しい!!: ハードウェア記述言語と要求仕様 · 続きを見る »

論理合成

論理合成(ろんりごうせい、logic synthesis)は、抽象的な回路の動作に関する記述(レジスタ転送レベルなど)から論理回路の実装設計を行う工程である。一般にVHDLやVerilogなどのハードウェア記述言語が使われる。ツールによっては、PAL や FPGA といったプログラマブルロジックデバイス向けの bitstream を生成する。また、ASIC向けの生成を行うツールもある。論理合成はEDAの一部である。.

新しい!!: ハードウェア記述言語と論理合成 · 続きを見る »

論理回路

論理回路(ろんりかいろ、logic circuit)は、論理演算を行う電気回路及び電子回路である。真理値の「真」と「偽」、あるいは二進法の「0」と「1」を、電圧の正負や高低、電流の方向や多少、位相の差異、パルスなどの時間の長短、などで表現し、論理素子などで論理演算を実装する。電圧の高低で表現する場合それぞれを「」「」等という。基本的な演算を実装する論理ゲートがあり、それらを組み合わせて複雑な動作をする回路を構成する。状態を持たない組み合わせ回路と状態を持つ順序回路に分けられる。論理演算の結果には、「真」、「偽」の他に「不定」がある。ラッチ回路のdon't care, フリップフロップ回路の禁止が相当する。 ここでの論理は離散(digital)であるためディジタル回路を用いる。論理演算を行うアナログ回路、「アナログ論理」を扱う回路(どちらも「アナログ論理回路」)もある。 多値論理回路も量子コンピュータで注目されている。 電気(電子)的でないもの(たとえば流体素子や光コンピューティングを参照)もある。 以下では離散なデジタル回路を扱う。.

新しい!!: ハードウェア記述言語と論理回路 · 続きを見る »

集積回路

SOPパッケージに封入された標準ロジックICの例 集積回路(しゅうせきかいろ、integrated circuit, IC)は、主としてシリコン単結晶などによる「半導体チップ」の表面および内部に、不純物の拡散による半導体トランジスタとして動作する構造や、アルミ蒸着とエッチングによる配線などで、複雑な機能を果たす電子回路の多数の素子が作り込まれている電子部品である。多くの場合、複数の端子を持つ比較的小型のパッケージに封入され、内部で端子からチップに配線されモールドされた状態で、部品・製品となっている。.

新しい!!: ハードウェア記述言語と集積回路 · 続きを見る »

FPGA

Altera Stratix IV GX FPGA FPGA(field-programmable gate array)は、製造後に購入者や設計者が構成を設定できる集積回路であり、広義にはPLD(プログラマブルロジックデバイス)の一種である。現場でプログラム可能なゲートアレイであることから、このように呼ばれている。.

新しい!!: ハードウェア記述言語とFPGA · 続きを見る »

Haskell

Haskell(ハスケル)は非正格な評価を特徴とする純粋関数型プログラミング言語である。名称は数学者であり論理学者であるハスケル・カリーに由来する。.

新しい!!: ハードウェア記述言語とHaskell · 続きを見る »

Java

Java(ジャバ)は、狭義ではプログラミング言語Javaを指す。広義では言語仕様以外にも、仕様が与えられているJavaクラスライブラリやJava仮想マシン、さらにはJDKやJREなどの公式のものをはじめとする、場合によってはサードパーティのものなどを含め曖昧にJavaプラットフォームと総称されるようなものなどのエコシステムなどを指すこともある。構文についてはJavaの文法の記事を参照。.

新しい!!: ハードウェア記述言語とJava · 続きを見る »

Lola

Lola は、同期式デジタル回路を記述するよう設計された単純なハードウェア記述言語。ニクラウス・ヴィルトが開発した言語で、チューリッヒ工科大学の教授時代に計算機科学の学生にFPGA上のデジタル設計について教える道具として作ったものである。 Lola ではハードウェア部品の構造と機能を静的に記述し、部品間の接続を記述する。Lola のテキストは宣言と文から構成される。信号設定の形で論理回路レベルでハードウェアを記述する。信号は演算器を使って統合され別の信号となる。信号とその割り当ては型としてグループ化される。型のインスタンスがハードウェア部品となる。型を他の型の組み合わせで構成することもでき、それによって階層的設計が可能で、ジェネリックプログラミングの一種ということもできる(例えば、ワード幅の回路をパラメータ化するなど)。 以上のような概念は下記の例(二進加算器回路)に示されている。まず基本構成要素(TYPE Cell)が定義され、次にその Cell を使ってワード幅 8 ビットのカスケードを宣言し、最後に複数の Cell を相互接続する。ここで定義されている MODULE Adder はより高次の設計の構成要素として使用可能である。 MODULE Adder; TYPE Cell; (* Composite Type *) END Cell; CONST N.

新しい!!: ハードウェア記述言語とLola · 続きを見る »

MATLAB

MATLAB(マトラボ)は、アメリカ合衆国のMathWorks社が開発している数値解析ソフトウェアであり、その中で使うプログラミング言語の名称でもある。MATLABは、行列計算、関数とデータの可視化、アルゴリズム開発、グラフィカルインターフェイスや、他言語(C/C++/Java/Python)とのインターフェイスの機能を有している。MATLABは、主に、数値計算を扱う事ができるが、追加のオプションを使うことで、数式処理の能力を得ることができる。2004年で、MATLABは産業界、教育界において100万人ユーザーを達成しており、工学、理学、経済学など幅広い業種で利用されている。.

新しい!!: ハードウェア記述言語とMATLAB · 続きを見る »

OCaml

OCaml( 、オーキャムル、オーキャメル)は、フランスの INRIA が開発したプログラミング言語MLの方言とその実装である。MLの各要素に加え、オブジェクト指向的要素の追加が特長である。かつては Objective Caml という名前で、その略として OCaml と広く呼ばれていたが、正式に OCaml に改名された。.

新しい!!: ハードウェア記述言語とOCaml · 続きを見る »

Perl

Perl(パール)とは、ラリー・ウォールによって開発されたプログラミング言語である。実用性と多様性を重視しており、C言語やsed、awk、シェルスクリプトなど他のプログラミング言語の優れた機能を取り入れている。ウェブ・アプリケーション、システム管理、テキスト処理などのプログラムを書くのに広く用いられている。 言語処理系としてのperlはフリーソフトウェアである。Artistic LicenseおよびGPLのもとで配布されており、誰でもどちらかのライセンスを選択して利用することができる。UNIXやWindowsなど多くのプラットフォーム上で動作する。.

新しい!!: ハードウェア記述言語とPerl · 続きを見る »

Property Specification Language

Property Specification Language(特性仕様言語、PSL と略記)とは、ハードウェア設計におけるプロパティ(特性)や表明を記述する言語として標準化団体 Accelleraが開発したものである。これにより、プロパティをシミュレートしたり形式的に検証したりできる。2004年9月から、IEEE 1850 ワーキンググループがこの標準化を行ってきた。2005年9月、IEEE 1850 Standard for Property Specification Language (PSL) が発表された。 Property Specification Language は以下のような複数のハードウェア記述言語で使われることを目指している.

新しい!!: ハードウェア記述言語とProperty Specification Language · 続きを見る »

Python

Python(パイソン)は、汎用のプログラミング言語である。コードがシンプルで扱いやすく設計されており、C言語などに比べて、さまざまなプログラムを分かりやすく、少ないコード行数で書けるといった特徴がある。.

新しい!!: ハードウェア記述言語とPython · 続きを見る »

RTL

RTL.

新しい!!: ハードウェア記述言語とRTL · 続きを見る »

Ruby

Ruby(ルビー)は、まつもとゆきひろ(通称 Matz)により開発されたオブジェクト指向スクリプト言語であり、スクリプト言語が用いられてきた領域でのオブジェクト指向プログラミングを実現する。 また日本で開発されたプログラミング言語としては初めて国際電気標準会議で国際規格に認証された事例となった。.

新しい!!: ハードウェア記述言語とRuby · 続きを見る »

Scala

Scala(スカラ()はオブジェクト指向言語と関数型言語の特徴を統合したマルチパラダイムのプログラミング言語である。名前の「Scala」は英語の「scalable language」に由来するものである。.

新しい!!: ハードウェア記述言語とScala · 続きを見る »

SFL

SFL(Structured Function description Language)は、ハードウェア記述言語である。.

新しい!!: ハードウェア記述言語とSFL · 続きを見る »

SystemC

SystemC(システムシー)は、電子回路機器の機能設計への使用を目的としたハードウェア記述言語 (HDL) の一種である。SystemC登場以前より存在し、純然たるHDLであるVerilogやVHDLに比べ、動作レベルモデリングなど、よりシステム寄りの記述言語である。.

新しい!!: ハードウェア記述言語とSystemC · 続きを見る »

SystemVerilog

SystemVerilog は、ハードウェア記述言語のVerilog HDLを拡張した言語で、主に検証に関する機能が拡張・統合されている。2002年にに対して Superlog 言語を寄付したことで生まれた。検証機能の部分はシノプシスが提供した OpenVera に基づいている。2005年、SystemVerilog は IEEE Standard 1800-2005 として標準化し、2009年に IEEE 1800-2009 として改定した。.

新しい!!: ハードウェア記述言語とSystemVerilog · 続きを見る »

Verilog

Verilog(ヴェリログ)は、IEEE 1364として標準化されているハードウェア記述言語(Hardware Discription Language; HDL)である。最もよく使用されているのは、デジタル回路のレジスタ転送レベルの設計と検証である。また、アナログ回路やの検証や、の設計にも使用されている。 もともとVerilogは電子回路シミュレーションを行うシミュレータであり、それに使用する言語であった。文法は、プログラミング言語のC言語やPascalに似ている。 後継言語はSystemVerilogで、だいたいVerilogのスーパーセットである。System Verilogの規格と統合して、「IEEE/IEC 62530:2011 SystemVerilog - Unified Hardware Design, Specification, and Verification Language」と呼ばれる標準になっている。.

新しい!!: ハードウェア記述言語とVerilog · 続きを見る »

VHDL

VHDLは、デジタル回路設計用の、ハードウェア記述言語(HDL: Hardware Description Language)の一種である。標準化は(現在は)IEEE/IECによる。主として論理回路の設計に、特にFPGAやASICなどの設計で使う。IEEEとIECで同一規格IEEE 1076-2008 VHDL Language Reference Manual/IEC 61691-1-1:2011 Behavioural languages - Part 1-1: VHDL Language Reference Manual を発行している。名前の由来は英語のVHSIC HDLの略で、VHSICは、very high speed integrated circuits(超高速集積回路)である。.

新しい!!: ハードウェア記述言語とVHDL · 続きを見る »

欧州連合

欧州連合(おうしゅうれんごう、、略称:)は、マーストリヒト条約により設立されたヨーロッパの地域統合体。 欧州連合では欧州連合条約の発効前に調印されていた単一欧州議定書によって市場統合が実現し、またシェンゲン協定により域内での国境通過にかかる手続きなどの負担を大幅に削減した。さらに欧州連合条約発効後によって外交・安全保障分野と司法・内務分野での枠組みが新たに設けられ、ユーロの導入による通貨統合が進められている。このほかにも欧州議会の直接選挙が実施されたり、欧州連合基本権憲章が採択されたりするなど、欧州連合の市民の概念が具現化されつつある。加盟国数も欧州経済共同体設立を定めたローマ条約発効時の6か国から、2013年7月のクロアチア加盟により28か国にまで増えている。.

新しい!!: ハードウェア記述言語と欧州連合 · 続きを見る »

有限オートマトン

有限オートマトン(finite automaton)または有限状態機械(finite state machine, FSM)とは、有限個の状態と遷移と動作の組み合わせからなる数学的に抽象化された「ふるまいのモデル」である。デジタル回路やプログラムの設計で使われることがあり、ある一連の状態をとったときどのように論理が流れるかを調べることができる。有限個の「状態」のうち1つの状態をとる。ある時点では1つの状態しかとらず、それをその時点の「現在状態」と呼ぶ。何らかのイベントや条件によってある状態から別の状態へと移行し、それを「遷移」と呼ぶ。それぞれの現在状態から遷移しうる状態と、遷移のきっかけとなる条件を列挙することで定義される。 有限オートマトンは様々な問題に応用でき、半導体設計の自動化、通信プロトコル設計、構文解析などの工学面での応用がある。生物学や人工知能研究では状態機械(群)を使って神経系をモデル化し、言語学では自然言語の文法をモデル化したりする。.

新しい!!: ハードウェア記述言語と有限オートマトン · 続きを見る »

ここにリダイレクトされます:

ABELAdvanced Boolean Expression LanguageAtom (ハードウェア記述言語)Atom (プログラミング言語)BluespecHDCamlHMLHardware Join JavaHydraImpulseCJHDLLavaMyHDLPALASMRHDLRuby (ハードウェア記述言語)

出ていきます入ってきます
ヘイ!私たちは今、Facebook上です! »