ロゴ
ユニオンペディア
コミュニケーション
Google Play で手に入れよう
新しい! あなたのAndroid™デバイスでユニオンペディアをダウンロードしてください!
無料
ブラウザよりも高速アクセス!
 

R4000

索引 R4000

R4000は、MIPS III命令セットアーキテクチャ (ISA) を実装したマイクロプロセッサで、ミップス社が設計した。1991年10月1日に正式発表された"MIPS To Show Off Three R4000 RISC Chips This Week".

68 関係: 単精度浮動小数点数帯域幅平方根仮想記憶位相同期回路ミップス・テクノロジーズマルチプレクサマルチプロセッシングマイクロプロセッサバレルシフタバス (コンピュータ)メモリ管理ユニットメインフレームレジスタ・リネーミングレジスタファイルトランスレーション・ルックアサイド・バッファピラミッド・テクノロジー分岐予測命令パイプライン命令セットアウト・オブ・オーダー実行エイサー (企業)キャッシュメモリキャッシュコヒーレンシコンカレント・コンピュータシリコングラフィックスシーメンスシスコシステムズスーパースカラースピンロックタンデムコンピューターズ倍精度浮動小数点数CISCCMOS組み込みシステムEWS4800誤り検出訂正除算 (デジタル)FPUHP Integrity NonStopIDTIEEE 754Intel486Load-Link/Store-ConditionalLSIコーポレーションMESIプロトコルMicrosoft Windows NTMIPSアーキテクチャMulti-Chip ModuleNINTENDO64...Quantum Effect DevicesR10000R3000R4200R4600R5000RISCStatic Random Access MemoryUNIXUP4800VRAMX Window System投機的実行東芝演算装置浮動小数点数日本電気64ビット インデックスを展開 (18 もっと) »

単精度浮動小数点数

情報処理において、単精度浮動小数点数 (Single precision floating point number) は、コンピュータの数値表現の一種である。 浮動小数点形式の標準であるIEEE 754では、単精度は32ビット(4オクテット)、倍精度は64ビット(8オクテット)である。IEEE 754-2008 では単精度の形式は正式には binary32 と呼ばれている。「単」や「倍」という表現は32ビットを1ワードとする32ビットアーキテクチャを基にしている。 単精度浮動小数点数は、同じ幅の固定小数点数に比べてより広範囲な数値を表せるようになっているが、同時に精度を犠牲にしている。 C言語、C++、C#、Java、Haskellでは単精度のデータ型を float と呼び、Pascal、MATLABなどでは single と呼ぶ。3.2以前のOctaveでは single という名前だが倍精度である。Pythonの float も倍精度である。.

新しい!!: R4000と単精度浮動小数点数 · 続きを見る »

帯域幅

帯域幅(たいいきはば)または、帯域(たいいき)、周波数帯域(しゅうはすうたいいき)、バンド幅(英: Bandwidth)とは、周波数の範囲を指し、一般にヘルツで示される。帯域幅は、情報理論、電波通信、信号処理、分光法などの分野で重要な概念となっている。 帯域幅と情報伝達における通信路容量とは密接に関連しており、通信路容量のことを指す代名詞のように俗称的にしばしば「帯域幅」の語が使われる。特に何らかの媒体や機器を経由して情報(データ)を転送する際の転送レートを「帯域幅」あるいは「バンド幅」と呼ぶ。.

新しい!!: R4000と帯域幅 · 続きを見る »

平方根

平方根(へいほうこん、square root)とは、数に対して、平方すると元の値に等しくなる数のことである。与えられた数を面積とする正方形を考えるとき、その数の平方根の絶対値がその一辺の長さであり、一つの幾何学的意味付けができる。また、単位長さと任意の長さ x が与えられたとき、長さ x の平方根を定規とコンパスを用いて作図することができる。二乗根(にじょうこん)、自乗根(じじょうこん)とも言う。.

新しい!!: R4000と平方根 · 続きを見る »

仮想記憶

仮想記憶(かそうきおく、Virtual Memory、バーチャルメモリ)とは、コンピュータ分野におけるメモリ管理の仮想化技法の一種であり、オペレーティングシステムなどが物理的なメモリを、アプリケーション・ソフトウェア(プロセスなど)に対して、専用の連続した主記憶装置に見えるように提供する。 この技術により、物理的な主記憶装置に加えてハードディスク装置等の補助記憶装置を併用すれば、物理的な主記憶装置よりも大きな仮想メモリを提供する事ができる。またアプリケーション・プログラム側は、物理メモリ上のアドレスを意識しなくて良いため、マルチタスクの実現が容易である。このため現代のオペレーティングシステムの多くが仮想記憶をサポートしている。 仮想的に与えられたアドレスを仮想アドレス (virtual address) または論理アドレス (logical address)、実記憶上で有効なアドレスを物理アドレス (physical address) または実アドレス (real address) という。仮想アドレスの範囲を仮想アドレス空間、物理アドレスの範囲を物理アドレス空間という。.

新しい!!: R4000と仮想記憶 · 続きを見る »

位相同期回路

位相同期回路(いそうどうきかいろ)、PLL(phase locked loop)とは、入力される周期的な信号を元にフィードバック制御を加えて、別の発振器から位相が同期した信号を出力する電子回路である。 フィードバックで加える信号を操作することで、多様な信号を安定した状態で作り出すことができるため、電子回路中でさまざまな用途に使用されている。用途によって広範囲、高精度のPLLが開発されており、標準集積回路としても生産されている。.

新しい!!: R4000と位相同期回路 · 続きを見る »

ミップス・テクノロジーズ

ミップス・テクノロジーズ(英語:MIPS Technologies, Inc.、)は、Wave Computing傘下のファブレス半導体企業であり、MIPSアーキテクチャと一連の RISC CPU 開発で知られている。家庭用・ネットワーク用・モバイル用デジタル機器のための命令セットアーキテクチャとコアを提供している。 自社では設計のみ行い、LSIメーカーにライセンスするビジネスモデルを持つ。ライセンシーとしては、東芝、日本電気、SCE、フィリップス、LSIコーポレーションなど多数のメーカーがある。単独のCPUだけでなく、MIPSアーキテクチャのCPUコアを組み込んだシステムLSIを製造しているメーカーも多い。 ミップスで働いていた有名人として、(起業家)、ジョン・ヘネシー、(ネットアップ創業者)、アール・キリアン(MIPS III ISA を設計)、(UNIX開発者の1人)、(ベリサインの元CEO)、(MC68000のチーフアーキテクト)などがいる。 2011年現在、カリフォルニア州サニーベールの本社以外に、中国の上海とオレゴン州ビーバートンに開発拠点がある。また、台湾の新竹市、日本の東京、ドイツのレムシャイト、イスラエルのハイファに支社がある。.

新しい!!: R4000とミップス・テクノロジーズ · 続きを見る »

マルチプレクサ

マルチプレクサ、多重器、多重装置、多重化装置、合波器(multiplexer)は、ふたつ以上の入力をひとつの信号として出力する機構である。通信分野では多重通信の入口の装置、電気・電子回路では複数の電気信号をひとつの信号にする回路である。しばしばMUX等と略される。.

新しい!!: R4000とマルチプレクサ · 続きを見る »

マルチプロセッシング

マルチプロセッシング(multi processing)とは、(本来は)ひとつのプロセスだけではなく複数の並行プロセスを同一システム内で使用することを意味する。 マルチタスクと同様ひとつのCPUを複数のプロセスが共有することも示すが、ひとつのシステム内の複数のCPUが複数のスレッドを動作させることも意味する。マルチプロセッサと言う場合は一般に後者のみを指す。.

新しい!!: R4000とマルチプロセッシング · 続きを見る »

マイクロプロセッサ

マイクロプロセッサ(Microprocessor)とは、コンピュータなどに搭載される、プロセッサを集積回路で実装したものである。 マイクロプロセッサは小型・低価格で大量生産が容易であり、コンピュータのCPUの他、ビデオカード上のGPUなどにも使われている。また用途により入出力などの周辺回路やメモリを内蔵するものもあり、一つのLSIでコンピュータシステムとして動作するものを特にワンチップマイコンと呼ぶ。マイクロプロセッサは一つのLSIチップで機能を完結したものが多いが、複数のLSIから構成されるものもある(チップセットもしくはビットスライスを参照)。 「CPU」、「プロセッサ」、「マイクロプロセッサ」、「MPU」は、ほぼ同義語として使われる場合も多い。本来は「プロセッサ」は処理装置の総称、「CPU」はシステム上で中心的なプロセッサ、「マイクロプロセッサ」および「MPU(Micro-processing unit)」はマイクロチップに実装されたプロセッサである。本項では、主にCPU用のマイクロプロセッサについて述べる。 当初のコンピュータにおいて、CPUは真空管やトランジスタなどの単独素子を大量に使用して構成されたり、集積回路が開発されてからも、たくさんの集積回路の組み合わせとして構成されてきた。製造技術の発達、設計ルールの微細化が進むにつれてチップ上に集積できる素子の数が増え、一つの大規模集積回路にCPU機能を納めることが出来るようになった。汎用のマイクロプロセッサとして最初のものは、1971年にインテルが開発したIntel 4004である。このマイクロプロセッサは当初電卓用に開発された、性能が非常に限られたものであったが、生産や利用が大幅に容易となったため大量に使われるようになり、その後に性能は著しく向上し、価格も低下していった。この過程でパーソナルコンピュータやRISCプロセッサも誕生した。ムーアの法則に従い、集積される素子数は増加し続けている。現在ではマイクロプロセッサは、大きなメインフレームから小さな携帯電話や家電まで、さまざまなコンピュータや情報機器に搭載されている。.

新しい!!: R4000とマイクロプロセッサ · 続きを見る »

バレルシフタ

バレルシフタ(barrel shifter)は、ある特定のビット数分だけワードデータをシフトできるデジタル回路である。これはマルチプレクサを並べたものとして実装できる。この実装では一つのマルチプレクサの出力はシフト距離に依存するウェイ数分離れた段のマルチプレクサの入力に接続されている。必要なマルチプレクサの数はnビットワードに対しては、n*log2(n)である。よくある4つのワードサイズとそれに必要なマルチプレクサの数を以下に示す。.

新しい!!: R4000とバレルシフタ · 続きを見る »

バス (コンピュータ)

バス とは、コンピュータの内外、各回路がデータを交換するための共通の経路を指すコンピュータ用語である。.

新しい!!: R4000とバス (コンピュータ) · 続きを見る »

メモリ管理ユニット

68451 MMU。MC68010で利用可能 メモリ管理ユニット (Memory Management Unit、MMU) は、コンピュータのハードウェア部品のひとつであり、CPUの要求するメモリアクセスを処理する。.

新しい!!: R4000とメモリ管理ユニット · 続きを見る »

メインフレーム

IBM 704 メインフレーム メインフレーム(mainframe)は、主に企業など巨大な組織の基幹業務用などに使用される、大型コンピュータを指す用語。汎用コンピュータ、汎用機、汎用大型コンピュータ、大型汎用コンピュータ、ホストコンピュータ、大型汎用計算機 などとも呼ばれる。対比語は時代にもより専用機、分散コンピュータ(オープン系システム)など。.

新しい!!: R4000とメインフレーム · 続きを見る »

レジスタ・リネーミング

レジスタ・リネーミング(register renaming)とは、コンピュータのプログラム内でレジスタを再利用しているために不必要な順序性が生じているのを、より多くの実在するレジスタを利用して再利用されているレジスタに割り当て、依存を無くす技術である。.

新しい!!: R4000とレジスタ・リネーミング · 続きを見る »

レジスタファイル

レジスタファイル(Register file)は、コンピュータの中央演算装置(CPU)にレジスタを多数集積したものである。 現代的な集積回路によるレジスタファイルは、高速なSRAMに複数のポートを持たせる形で実装されている。通常のマルチポートの SRAM は同じポートで読み書きするのに対して、このような RAM は専用の読み書きポートを持つ点で異なる。 CPU の命令セットアーキテクチャは、メモリとチップ上の機能ユニットとでデータを橋渡しするレジスタを定義するが、単純な CPU では「アーキテクチャ上のレジスタ」が CPU 内の物理的なレジスタに1:1で対応する。一方より複雑な CPU はレジスタ・リネーミングを用いて物理的なレジスタとアーキテクチャ上のレジスタの対応関係が実行時に動的に変化する。レジスタファイルは命令セットアーキテクチャの一部で、プログラマから見える存在であり、プログラマからは透過的に存在しており見えないキャッシュメモリとは異なる。.

新しい!!: R4000とレジスタファイル · 続きを見る »

トランスレーション・ルックアサイド・バッファ

トランスレーション・ルックアサイド・バッファ(英: Translation Lookaside Buffer、TLB)とは、メモリ管理ユニット内のある種のキャッシュであり、仮想アドレスから物理アドレスへの変換の高速化を図るものである。こんにちの仮想記憶をサポートするマイクロプロセッサは、仮想空間と物理空間のマッピングにTLBを利用しているのがほとんどである。 TLBは通常、連想メモリ (CAM) で実装されている。CPUがメモリ空間にアクセスする際、検索キーとして仮想アドレスを使い、TLB上にそのアドレスに対応するエントリがあれば、検索結果として対応する物理アドレスが返る。これを「TLBヒット」と呼ぶ。要求したアドレスがTLB内にない場合は「TLBミス」であり、アドレス変換のためにページテーブルを辿っていかなければならない。これを「ページウォーク」と呼ぶ。ページウォークは複数個所のメモリの内容を読み取り、そこから物理アドレスを計算しなければならず、時間がかかる作業である。ページウォークによって物理アドレスが判明した後、その仮想アドレスと物理アドレスのマッピングがTLBに格納される。.

新しい!!: R4000とトランスレーション・ルックアサイド・バッファ · 続きを見る »

ピラミッド・テクノロジー

ピラミッド・テクノロジー (Pyramid Technology) は、RISCベースのミニコンピュータを製造していたコンピュータ企業。.

新しい!!: R4000とピラミッド・テクノロジー · 続きを見る »

分岐予測

ンピュータ・アーキテクチャにおける分岐予測(ぶんきよそく、Branch Prediction、ブランチプレディクション)とは、プログラム実行の流れの中で条件分岐命令が分岐するかしないかを予測することにより、命令パイプラインの効果を可能な限り維持し、性能を高めるためのCPU内の機能である。.

新しい!!: R4000と分岐予測 · 続きを見る »

命令パイプライン

命令パイプライン(Instruction pipeline)は、コンピュータなどのデジタル電子機器で命令スループット(単位時間当たりに実行できる命令数)を向上させる設計技法の1つで、命令レベルの並列性を高める1技法。 命令パイプラインのあるプロセッサは、命令の処理を独立して実行できる工程(ステージ)に分割する。各工程は、前の工程の出力を自身の入力とし、自身の出力を次の工程の入力とするように相互接続されている。このような構成で各工程を並列化し、全体としての処理時間を大幅に削減する。.

新しい!!: R4000と命令パイプライン · 続きを見る »

命令セット

命令セット(めいれいせっと、instruction set)は、コンピュータのハードウェアに対して命令を伝えるための言葉の語彙。.

新しい!!: R4000と命令セット · 続きを見る »

アウト・オブ・オーダー実行

アウト・オブ・オーダー実行(-じっこう、out-of-order execution)とは、高性能プロセッサにおいてクロックあたりの命令実行数(IPC値)を増やし性能を上げるための手法の1つで、機械語プログラム中の命令の並び順に依らず、データなどの依存関係から見て処理可能な命令について逐次開始・実行・完了させるものである。頭文字で'OoO'あるいは'O-o-O'とも書かれる。「順序を守らない実行」の意である。 プロセッサの設計と実装において、命令レベルの並列性(Instruction-level parallelism; ILP)を高めることは1つの目標でありスーパースケーラにより1サイクルあたり2命令を越えることが可能になったが、フォンノイマンアーキテクチャの前提である逐次実行が、並列化を施す上での障壁となる。アウト・オブ・オーダー実行(以下、OoO)は、結果(意味)に影響を与えないことを保証しながら可能な限り順序に従わずどんどん実行することにより、複数命令の同時実行の可能性を広げる最適化手法の1つである。 アウト・オブ・オーダー実行に対して、順序通り実行することを、イン・オーダー実行と言う。.

新しい!!: R4000とアウト・オブ・オーダー実行 · 続きを見る »

エイサー (企業)

イサー(宏碁股份有限公司、Acer Incorporated)とは、中華民国新北市に本社を持つパソコン及び関連機器メーカー企業グループである。1976年に施振栄(スタン・シー)等が創業。傘下にAOpenを持ち大手PCメーカーのOEM事業も手がける。BenQ、AUOは元子会社。.

新しい!!: R4000とエイサー (企業) · 続きを見る »

キャッシュメモリ

ャッシュメモリ は、CPUなど処理装置がデータや命令などの情報を取得/更新する際に主記憶装置やバスなどの遅延/低帯域を隠蔽し、処理装置と記憶装置の性能差を埋めるために用いる高速小容量メモリのことである。略してキャッシュとも呼ぶ。コンピュータは以前から記憶装置や伝送路の性能が処理装置の性能に追いつけず、この差が全体性能に対するボトルネックとされてきた(ノイマンズ・ボトルネック)。そしてムーアの法則に基づく処理装置の加速度的な高性能化により現在ではますますこの差が拡大されている。キャッシュメモリは、記憶階層の観点からこれを解消しようとするものである。 主に、主記憶装置とCPUなど処理装置との間に構成される。この場合、処理装置がアクセスしたいデータやそのアドレス、状態、設定など属性情報をコピーし保持することで、本来アクセスすべき記憶装置に代わってデータを入出力する。通常はキャッシュメモリが自動的にデータ保存や主記憶装置の代替を行うため、基本的にCPUのプログラムなど処理装置側がキャッシュメモリを意識する必要はない。 キャッシュの一般的な概念はキャッシュ (コンピュータシステム)を参照のこと。.

新しい!!: R4000とキャッシュメモリ · 続きを見る »

キャッシュコヒーレンシ

リソースを共有する複数のキャッシュの概念図 キャッシュコヒーレンシ(英: cache coherency)とは、共有リソースに対する複数のキャッシュの一貫性を意味する。キャッシュコヒーレンシはメモリ一貫性の一種である。 複数のクライアントが共有メモリリソースのキャッシュを保持するとき、キャッシュ間のデータの不一致という問題が生じる。この問題は特にマルチプロセッシングシステムのCPU間で顕著である。右図において、上のクライアントがメモリのある部分を以前に読み込んでいてキャッシュ上にコピーを保持しているとき、下のクライアントが同じメモリ部分を更新すると、更新を何らかの方法で伝えない限り上のクライアントのキャッシュの内容は不正となる。キャッシュコヒーレンシはそのような状況に対処し、キャッシュとメモリの間の一貫性を保つことである。.

新しい!!: R4000とキャッシュコヒーレンシ · 続きを見る »

コンカレント・コンピュータ

ンカレント・コンピュータ(Concurrent Computer)は、アメリカ合衆国のリアルタイムコンピュータ会社。 もともとは、ミニコンピュータメーカーであったが、現在では、x86用Realtime Linux(RedHawk Linux)とビデオ・オン・デマンドサーバー(Media Hawk)を販売している。 2017年4月 リアルタイム事業とビデオサーバ事業を分社し、リアルタイム事業はConcurrent Real Timeに引き継ぎ、ビデオサーバ事業は継続すると表明していたが、同年10月ビデオサーバ事業は、カナダのNetwork機器の製造販売会社であるVeciam networks社に買収されることに合意した。なお、分社したリアルタイム事業は、コンカレント・コンピュータとの資本関係は無いため、Concurrent Real Time社が継続している。.

新しい!!: R4000とコンカレント・コンピュータ · 続きを見る »

シリコングラフィックス

リコングラフィックス(Silicon Graphics International Corp.、略称:SGI、NASDAQ:)は、業務用コンピュータの開発・製造・販売を行うアメリカの企業である。本拠地はカリフォルニア州マウンテンビューに置かれていたが、2009年にサンノゼが本社所在地となった。 元々は、1982年にSilicon Graphics, Inc.として設立された。 コンピュータグラフィックスに特化した最先端の製品を開発し続け、コンピュータグラフィックス全般に絶大な影響を与えた企業である。同社のCGワークステーションは、1990年代までは世界最高の性能を堅持していた。特に、大規模な商業映画におけるCG制作でデファクトスタンダードとして扱われていたことは有名である。現在も世界中のIT端末で使われているOpenGLは、同社のCGワークステーション向けに開発されたIRISGLがオープン化されたAPIである。 2000年代に入り画像処理分野にも安価で十分な性能を持つx86アーキテクチャが普及すると、高価で大して性能面の優位性もない上に互換性もない自社専用アーキテクチャの開発を停止した。x86アーキテクチャへの転換により画像処理分野における優位性が失われたため、科学技術計算用の大型計算機を中心としたビジネスに移行した。 2009年4月1日、連邦倒産法第11章の適用を申請して倒産。同日、Rackable Systems社による事業買収の合意が発表された。5月8日にRackable Systems社による買収が完了、5月18日にRackable Systems社は社名を「Silicon Graphics International Corp.(SGI)」へと変更した(NASDAQのティッカーシンボルもRACKからSGIに変更)。 2016年11月1日、ヒューレット・パッカード・エンタープライズ (HPE) による買収が完了し、公開会社としてのSGIは廃止された。買収金額は2億7500万ドルであった。 日本法人に日本SGIがある。2001年に日本SGIがNECの出資を受けてSGIより独立したが、2011年に再度、SGIの100%子会社となった。2016年にはHPEの傘下となった。.

新しい!!: R4000とシリコングラフィックス · 続きを見る »

シーメンス

単体:44,814名(2008年6月30日現在) |決算期.

新しい!!: R4000とシーメンス · 続きを見る »

シスコシステムズ

テムズ(Cisco Systems, Inc.)は、アメリカ合衆国カリフォルニア州サンノゼに本社を置く、世界最大のコンピュータネットワーク機器開発会社。Cisco(シスコ)の略称で呼ばれることが多い。.

新しい!!: R4000とシスコシステムズ · 続きを見る »

スーパースカラー

パイプライン概念図 Alpha プロセッサを搭載 スーパースカラー(superscalar,スーパースケーラ)とは、プロセッサのマイクロアーキテクチャにおける用語で、複数の命令を同時にフェッチし、複数の同種のあるいは異種の実行ユニットを並列に動作させ、プログラムの持つ命令レベルの並列性を利用して性能の向上を図るアーキテクチャである。.

新しい!!: R4000とスーパースカラー · 続きを見る »

スピンロック

ピンロック(Spinlock)とは、ソフトウェア工学におけるロックの一種で、スレッドがロックを獲得できるまで単純にループ(スピン)して定期的にロックをチェックしながら待つ方式。スレッドはその間有益な仕事を何もせずに動作し続けるため、これは一種のビジーウェイト状態を発生させる。獲得されたスピンロックは明示的に解放するまでそのまま確保されるが、実装によってはスレッドがブロック(スリープ)したときに自動的に解放される場合もある。 スレッドが短時間だけブロックされるなら、スピンロックは効率的であり、オペレーティングシステムのプロセススケジューリングのオーバヘッドを防ぐことにもなる。このため、スピンロックはカーネル内でよく使われる。しかし、確保期間が長くなるとスピンロックは無駄が多くなり、他のスレッドの処理を妨害するだけでなく、再スケジューリングが必要になることもある。スレッドがロックを保持する時間が長くなればなるほど、ロックを持った状態でOSスケジューラによって割り込まれる可能性が高くなる。もしそうなると、ロックを保持しているスレッドがロックを解放することがないにも関わらず、他のスレッドはスピン(ロックを繰り返し獲得しようとする)し続けてしまう。その結果、ロックを保持するスレッドがロックを解放するまで、他のスレッドは先に進むことができない(indefinite postponement状態になる)。これはシングルプロセッサシステムには特に当てはまる。というのも、他のスレッドが並行して動く事は決してないので、いったんスピンし始めるとタイムスライスを使い切るまでスピンし続けることになるのである。 スピンロックを正しく実装することは難しい。なぜなら、競合状態を避けるためにロックの同時アクセスの可能性を考慮しなければならないからである。一般に、これは特別なアセンブリ言語の命令(アトミックなテスト・アンド・セット操作など)を使う必要があり、高級言語やアトミック命令をサポートしていない言語では簡単には実装できない。 アトミック命令をサポートしないアーキテクチャや、高級言語で実装しなければならない場合、ピーターソンのアルゴリズムといったアトミックでないロックアルゴリズムを用いることができるかもしれない。ただし、スピンロックより多くのメモリが必要になるかもしれないし、アウト・オブ・オーダー実行が許される場合は高級言語では実装できないかもしれない。.

新しい!!: R4000とスピンロック · 続きを見る »

タンデムコンピューターズ

タンデムのロゴ入りマグカップ タンデムコンピューターズ (Tandem Computers, Inc.) は、ATMネットワーク、銀行、株式市場その他のトランザクション処理を主とする用途向けに無停止コンピュータ(フォールトトレラント・コンピュータ)を製造していた企業である。無停止コンピュータは稼働時間をなるべく長くし、データ損失をゼロにすることを要求される用途で活躍する。1974年創業で、1997年まで独立企業として存続していた。現在はヒューレット・パッカードのサーバ部門に吸収されている。.

新しい!!: R4000とタンデムコンピューターズ · 続きを見る »

倍精度浮動小数点数

倍精度浮動小数点数(ばいせいどふどうしょうすうてんすう、Double precision floating point number)は、64ビットの浮動小数点数表現である。 「倍」精度と言うのは、単精度に対してそのように言うわけだが、これは32ビットを1ワードとする32ビットアーキテクチャを基にしている。 昔のFORTRANでは、単精度(REAL型)よりも精度が高ければ倍精度(DOUBLE PRECISION型)を名乗ることができた(そもそもワードの長さも浮動小数点のフォーマットも機種ごとにまちまちだった)。IBMのSystem/360で採用され大型機の事実上の標準となった、指数の基数が16の浮動小数点形式は、32ビット単精度では最悪の場合の精度が十進で6桁程度となり、技術計算では倍精度以上を使わねばならないという問題があった。(注:FORTRANは、REAL型が1ワード、DOUBLE PRECISION型が2ワードという前提だった) 標準であるIEEE 754では、単精度は32ビット(4オクテット)、倍精度は64ビット(8オクテット)である。いずれにしろ、「倍」というのは、精度に関係する仮数部(後述)の長さが正確に2倍である、といったような意味ではなく、全体の長さが2倍である所から来ているので、実際の所「倍精度」というのはかなり大雑把な言い方に過ぎない。.

新しい!!: R4000と倍精度浮動小数点数 · 続きを見る »

CISC

CISC(しすく、Complex Instruction Set Computer)は、コンピュータの命令セットアーキテクチャ(ISA)の設計の方向性の一つである。単純な命令を指向したRISCが考案されたときに、対比して(レトロニム)従来のISAは複雑であるとして、"Complex" の語を用いた "CISC" と呼ばれる様になった。典型的なCISCのISAはしばしば、単一の命令で複数の処理を行う、可変長命令である、直交性がある、演算命令のオペランドにメモリを指定できる、などで特徴づけられる。 CISCを採用したプロセッサ(CPU)をCISCプロセッサと呼ぶ。CISCプロセッサに分類されるプロセッサとしては、マイクロプログラム方式を採用したSystem/360、PDP-11、VAXなどや、マイクロプロセッサの680x0、x86などがある。.

新しい!!: R4000とCISC · 続きを見る »

CMOS

CMOS(シーモス、Complementary MOS; 相補型MOS)とは、P型とN型のMOSFETをディジタル回路(論理回路)の論理ゲート等で相補的に利用する回路方式(論理方式)、およびそのような電子回路やICのことである。また、そこから派生し多義的に多くの用例が観られる(『#その他の用例』参照)。.

新しい!!: R4000とCMOS · 続きを見る »

組み込みシステム

組み込みシステム(くみこみシステム、英: Embedded system)とは、特定の機能を実現するために家電製品や機械等に組み込まれるコンピュータシステムのこと。.

新しい!!: R4000と組み込みシステム · 続きを見る »

EWS4800

EWS4800は、日本電気(NEC)が開発・製造していたエンジニアリングワークステーション(およびそのシリーズ)の番号名称である。パソコンPC-9800シリーズの通称「キュウハチ」に対して、(後発のUP4800シリーズも合わせ)「ヨンパチ」と呼ばれることもあった。.

新しい!!: R4000とEWS4800 · 続きを見る »

誤り検出訂正

誤り検出訂正(あやまりけんしゅつていせい)またはエラー検出訂正 (error detection and correction/error check and correct) とは、データに符号誤り(エラー)が発生した場合にそれを検出、あるいは検出し訂正(前方誤り訂正)することである。検出だけをする誤り検出またはエラー検出と、検出し訂正する誤り訂正またはエラー訂正を区別することもある。また改竄検出を含める場合も含めない場合もある。誤り検出訂正により、記憶装置やデジタル通信・信号処理の信頼性が確保されている。.

新しい!!: R4000と誤り検出訂正 · 続きを見る »

除算 (デジタル)

数値的(ディジタル)な除算アルゴリズムはいくつか存在する。それらのアルゴリズムは、低速な除算と高速な除算の2つに分類できる。低速な除算は反復する毎に最終的な商を1桁ずつ生成していくアルゴリズムである。回復型、不実行回復型、非回復型、SRT除算などがある。高速な除算は最初に商の近似値から出発して徐々に正確な値に近づけていくもので、低速な除算よりも反復回数が少なくて済む。ニュートン-ラプソン法とゴールドシュミット法がこれに分類される。 以下の解説では、除算を Q.

新しい!!: R4000と除算 (デジタル) · 続きを見る »

FPU

FPU(Floating Point Unit、浮動小数点(演算処理)装置)とは、浮動小数点演算を専門に行う処理装置のこと。コンピュータの周辺機器のようなアーキテクチャのものもあれば、主プロセッサと一体化したコプロセッサのようなアーキテクチャのものもある。 AMDではAm9511をAPU (Arithmetic Processing Unit) と呼んでおり(2011年以降はAPUをAccelerated Processing Unitの略称として使用)、インテルではx87をNDP(Numeric data processor, 数値演算コプロセッサ)、またその命令についてNPX(Numeric Processor eXtension)とも呼んでいる。 マイクロプロセッサにおいては、Apple IIの頃は完全に周辺機器のようなアーキテクチャだったが、8087の頃には命令の一体化など、CPUの拡張装置のようなアーキテクチャになった。 インテルのx86系CPUでは387(386用)が最後となり、486からは同一のチップ内に内蔵された(486の初期には、FPUを内蔵しない廉価版と、事実上はオーバードライブプロセッサであった487もあった)。同様に、モトローラの68000系でもMC68040以降のMPUではチップ内に内蔵している。 1990年代中盤以降の高性能プロセッサではFPUはプロセッサ内部のサブユニットとなっている。プロセッサに内蔵されたFPUは、スーパースカラーで他ユニットと並列動作させることができるなど様々なメリットがあるため、現在ではFPUを単体で用いることは珍しくなっている。.

新しい!!: R4000とFPU · 続きを見る »

HP Integrity NonStop

HPE Integrity NonStopは、ヒューレット・パッカード社が販売する無停止コンピュータのシリーズ。もとはタンデムコンピューターズ社の製品であったが、企業買収によりコンパックを経てヒューレット・パッカードの製品となった。他にはない99.9999%の可用性を実現するアーキテクチャ「NonStop(無停止)」が採用されたオープンシステムである。1993年以来MIPSプロセッサを採用していたが、2005年にインテルのItanium 2プロセッサに移行し、日本では製品名をHP Integrity NonStop サーバとしている。なお、Nonstop, Non-stop, Non-Stopなどと誤記されることが多いが「NonStop」が正しい。.

新しい!!: R4000とHP Integrity NonStop · 続きを見る »

IDT

Integrated Device Technology, Inc.(IDT)は、アメリカの半導体企業。本社はカリフォルニア州サンノゼ。通信・コンピュータ・一般向け機器などで使用する低消費電力で高性能なアナログ-デジタル混在半導体部品の設計と製造を行っている。主にOEM製品を扱っている。1980年、通信・コンピュータ向けのCMOS製品メーカーとして創業。現在は、通信部門、コンピュータ部門、コンシューマ部門で構成されている。.

新しい!!: R4000とIDT · 続きを見る »

IEEE 754

IEEE 754(あいとりぷるいー754、IEEE Standard for Floating-Point Arithmetic: 直訳すると「浮動小数点数算術標準」)は、浮動小数点数の計算で最も広く採用されている標準規格であり、多くのプロセッサなどのハードウェア、またソフトウェア(コンピュータ・プログラム)に実装されている。多くのコンピュータ・プログラミング言語ないしその処理系でも、浮動小数点数処理の一部または全部が IEEE 754 になっている。IEEE 754 が制定される前に成立したC言語などは、仕様上はIEEE 754 が必須となっていないものの、IEEE 754対応の演算命令を使える環境下では、それをそのまま利用して浮動小数点数演算を実装することが多い。一方で、JavaやC#など、言語仕様として IEEE 754 を必須としているものもある。 21世紀に入った後に改定され、2008年8月に制定された IEEE 754-2008 がある。これには、1985年の IEEE 754 制定当初の規格であるIEEE 754-1985、ならびに基数非依存の浮動小数点演算の標準規格 IEEE 854-1987 の両者がほぼすべて吸収されている。IEEE 754-2008 は正式に制定されるまでは、IEEE 754rと呼ばれた。 正式な規格名は、IEEE Standard for Floating-Point Arithmetic (ANSI/IEEE Std 754-2008)である。ISO/IEEEのPSDO(パートナー標準化機関)合意文書に基づき、JTC1/SC 25 を通して国際規格 ISO/IEC/IEEE 60559:2011 として採用され、公表されている。 この標準規格は以下のことを定義している。.

新しい!!: R4000とIEEE 754 · 続きを見る »

Intel486

Intel486(インテルよんはちろく)は、インテルのx86系マイクロプロセッサで、386の後継製品である。 当初の名称は「80486」で、後に廉価版の「486SX」をラインナップに追加した際に、従来の80486を「486DX」と改名し、同時にそれらの総称として「i486」の商標を使うようになった。"i" を付けたのは、米国では番号だけの名前は商標権を取れない(登録できない)ためである。インテルが現在使用している名称はIntel486プロセッサ (Intel486 Processor) である。.

新しい!!: R4000とIntel486 · 続きを見る »

Load-Link/Store-Conditional

load-link(ロード・リンク、LL、他に load-linked(ロードリンクト) または load and reserve(ロード・アンド・リザーヴ))と store-conditional(ストア・コンディショナル) (SC) は組み合わせて使用されるコンピュータの命令。これによりロックなしのアトミックなリード・モディファイ・ライト操作が可能となる。(リード・モディファイ・ライトとは、あるメモリ位置の内容を読み取って、変更を加えて、書き戻す一連の操作を意味する) load-link 命令は指定されたメモリ位置の現在の内容を返す。その後の store-conditional 命令は同じメモリ位置へ新たな値を書き込むが、前回の load-link 命令以降にそのメモリ位置の内容が書き換えられていないときだけ書き込みが行われる。何らかの更新がなされていたら、たとえ load-link 命令で読み取った値と同じ内容が書かれていたとしても store-conditional 命令は失敗する。従って、LL/SC命令はコンペア・アンド・スワップ (CAS) 命令のような false positive(フォールス・ポジティヴ)(偽陽性)問題を発生しない。.

新しい!!: R4000とLoad-Link/Store-Conditional · 続きを見る »

LSIコーポレーション

LSIコーポレーション(英: LSI Corporation)は、カリフォルニア州ミルピタスにあった電子機器製造企業である。ASIC、ホストバスアダプタ、RAIDアダプタ、ストレージシステム、ネットワーク機器などを設計・製造している。2014年5月6日、アバゴ・テクノロジーによって66億ドルで買収された。日本法人はLSIロジック株式会社。.

新しい!!: R4000とLSIコーポレーション · 続きを見る »

MESIプロトコル

MESIプロトコル(別名、イリノイ・プロトコル)とは、マルチプロセッサシステムでメモリやキャッシュメモリの同期をとるキャッシュコヒーレンシとメモリ一貫性のプロトコルであり、ライトバック方式のキャッシュで広く使われている。イリノイ・プロトコルという別名はイリノイ大学アーバナ・シャンペーン校で開発されたことに由来する。 インテルは、「486プロセッサで以前から使われていたライトスルーキャッシュに加えて、より効率的なライトバックキャッシュをサポートする」としてPentiumプロセッサでMESIプロトコルを採用した。そのためパーソナルコンピュータでも広く使われている。.

新しい!!: R4000とMESIプロトコル · 続きを見る »

Microsoft Windows NT

Microsoft Windows NT(マイクロソフト ウィンドウズ エヌティー)は、マイクロソフトが開発したオペレーティングシステム (OS) である。DECが手がけたVMSのアーキテクチャを基礎としており、開発もDECの元社員が全面的に行い、リリースに至っている。 Windows 9x系といったWindowsファミリーのオペレーティングシステムより安定性に優れている。Windows 2000 以降はOSの名称からNTは外されたものの、OSとしてはWindows NTのバージョン5以降であり、現在のWindows 10(Windows NT ver10.0)に至るまでWindowsNTは継続した製品シリーズである。.

新しい!!: R4000とMicrosoft Windows NT · 続きを見る »

MIPSアーキテクチャ

MIPSアーキテクチャは、ミップス・コンピュータシステムズ(現ミップス・テクノロジーズ)が開発したRISCマイクロプロセッサの命令セット・アーキテクチャ (ISA) である。.

新しい!!: R4000とMIPSアーキテクチャ · 続きを見る »

Multi-Chip Module

Multi-Chip Module (MCM)は、複数の集積回路(IC)のダイやモジュールなどを搭載して、1つのICのように取り扱いを容易にする、専用のエレクトリックパッケージである。MCMはその統合された性質から、設計においてMCM自身が「チップ」と呼ばれる場合もある。.

新しい!!: R4000とMulti-Chip Module · 続きを見る »

NINTENDO64

NINTENDO64(ニンテンドウろくじゅうよん)は、任天堂株式会社が1996年(平成8年)に発売した家庭用ゲーム機。スーパーファミコンの後継・次世代機種であり、略称は「64(ロクヨン)」、「N64」など。 生産は既に終了しており、2007年(平成19年)10月31日をもってファミリーコンピュータ、スーパーファミコン等と共に公式修理サポートを終了した。NINTENDO64で発売されたゲームソフトの一部は、Wii UやWiiのゲームソフト配信サービスであるバーチャルコンソールで購入・プレイすることが可能である。.

新しい!!: R4000とNINTENDO64 · 続きを見る »

Quantum Effect Devices

IDT R4700 の底面。設計はQED、販売はIDT QED RM5230 の底面 QED RM7000 の底面 Quantum Effect Devices (QED) は、半導体集積回路の設計製造を行うアメリカ合衆国の企業。2000年に買収され、消滅した。.

新しい!!: R4000とQuantum Effect Devices · 続きを見る »

R10000

NEC VR10000 R10000 は、MIPS IV命令セットアーキテクチャ (ISA) を実装したRISCマイクロプロセッサで、当時シリコングラフィックス (SGI) の子会社となっていたミップス・テクノロジーズ (MTI) が開発した。開発コード名は "T5"。チーフデザイナーは Chris Rowen と Kenneth C. Yeager。R10000のマイクロアーキテクチャは ANDES(Architecture with Non-sequential Dynamic Execution Scheduling、非逐次的動的実行スケジューリング・アーキテクチャ)と名付けられている。ハイエンドではR8000、それ以外ではR4400の後継として、それらに取って代わった。MTIはファブレス企業であり、実際の製造は日本電気 (NEC) と東芝が行った。R4000/R4400以上に設備投資がかかるため、それまでMIPSアーキテクチャのマイクロプロセッサを手がけていたIDTなどの半導体企業はR10000を製造しなかった。.

新しい!!: R4000とR10000 · 続きを見る »

R3000

IDT79R3051 R3000Aコア,命令キャッシュ4KB,TLB無し R3000はMIPS I命令セットアーキテクチャ (ISA) のマイクロプロセッサで、ミップス社が開発し、1988年6月にリリースした。MIPSアーキテクチャとしては2つ目の実装であり、R2000の後継である。 オリジナルのR3000 はCPUと、メモリ管理および例外処理を担当するCP0と呼ばれる部分から構成される。またFPUはR3010という別チップであり、R3000と密に結合して使用される。キャッシュメモリは内蔵していないが、命令キャッシュとデータキャッシュのコントローラを内蔵し、それぞれ256KBまでの1次キャッシュを接続することができた。動作周波数は20MHzから最大で40MHzだが、主に30MHz、33MHzで使用されることが多い。1.2μmのCMOSプロセスで製造され、56 mm2 のチップサイズに11万5千個のトランジスタを集積している。 ミップス社は設計のみを行い、製造はメーカーに任せていた。R3000 を製造したメーカーとしては、IDT、LSIロジック、NEC、シーメンス、東芝などがある。SGIなどのワークステーションやサーバに使用された。また、派生品がPlayStationのプロセッサとしても使用され、組み込みシステムにも多く使われた。.

新しい!!: R4000とR3000 · 続きを見る »

R4200

R4200はMIPS III命令セットアーキテクチャ (ISA) を実装したマイクロプロセッサ。ミップス・テクノロジーズ (MTI) が設計し、NECがライセンス提供を受けてVR4200として製造・販売した。開発中のコード名はVRX。1993年に80MHz版VR4200を発表。1994年には100MHz版も登場した。低消費電力のWindows NTマシン向け(ノート型など)をターゲットとして開発された。MTIは整数演算性能でi486のハイエンドを凌駕しており、初期のPentiumの80%の性能だとしていた。実際にはパーソナルコンピュータには使われず(NECのEWS4800のノート型で使われたのみ)、組み込みシステムをターゲットに設定し直した。このため、R4600と競合することになった。.

新しい!!: R4000とR4200 · 続きを見る »

R4600

IDT製のR4600 R4600はMIPS III命令セットアーキテクチャ (ISA) を実装したマイクロプロセッサの一種で、Quantum Effect Devices (QED) が設計した。開発コード名は "Orion"。QEDは設計専門企業で工場を持たないため、ライセンス提供を受けてR4600を実際に最初に製造したのはIDTで、その後東芝、さらに日本鋼管 (NKK) が製造した。これらの半導体企業はR4600をそれぞれ製造し販売した。R4600が対象としたのは、ローエンドのワークステーション市場とハイエンドの組み込みシステムである。例えばシリコングラフィックスが Indy ワークステーションに採用し、DeskStation Technology は Windows NT ワークステーションに採用した。R4600を採用したIndyは低価格だが整数演算性能が高かった。組み込みシステムでは、シスコシステムズがルーターなどに採用し、キヤノンがプリンターに採用した。.

新しい!!: R4000とR4600 · 続きを見る »

R5000

R5000はMIPS IV命令セットアーキテクチャ (ISA) を実装したマイクロプロセッサの一つで、Quantum Effect Devices (QED) が設計した。ミップス・テクノロジーズ (MTI) が開発資金を出し、権利もMTIが保持した。MTIからライセンス提供を受けたのは、IDT、日本電気 (NEC)、日本鋼管 (NKK)、東芝である。QEDがそれまで設計したR4600やR4700の上位に位置するハイエンドの組み込み用マイクロプロセッサとして企画された。NECはVR5000、NKKはNR5000、東芝はTX5000として販売。PMC-Sierra がQEDを買収した際、R5000の権利も同社が同時に購入した。PMC-Sierraは今もMIPSアーキテクチャのマイクロプロセッサを組み込みシステム向けに販売している。.

新しい!!: R4000とR5000 · 続きを見る »

RISC

RISC(りすく、Reduced Instruction Set Computer、縮小命令セットコンピュータ)は、コンピュータの命令セットアーキテクチャ(ISA)の設計手法の一つで、命令の種類を減らし、回路を単純化して演算速度の向上を図るものである。なお、RISCが提唱されたときに、従来の設計手法に基づくアーキテクチャは対義語としてCISCと呼ばれるようになった。 RISCを採用したプロセッサ (CPU) をRISCプロセッサと呼ぶ。RISCプロセッサの例として、ARM、MIPS、POWER、SPARCなどが知られる。.

新しい!!: R4000とRISC · 続きを見る »

Static Random Access Memory

NESクローンに使われていた2K×8ビットSRAM Static RAM・SRAM(スタティックラム・エスラム)は、半導体メモリの一種である。ダイナミックRAM (DRAM) とは異なり、定期的なリフレッシュ(回復動作)が不要であり、内部構造的に長くても1秒〜10秒、通常は確実さのために、もっと短い間隔でリフレッシュ動作が必要で漏れ電流などにより電荷が失われる、集積回路中の素子の寄生容量を利用するという「ダイナミック」な方式であるのに対し、-->フリップフロップ等の順序回路という「スタティック(静的)な回路方式により情報を記憶するもの」であることからその名がある。「データ残留現象」といった性質が無いわけでもないが、基本的に電力の供給がなくなると記憶内容が失われる揮発性メモリ(volatile memory)である。但し原理上、アクセス動作が無ければ極く僅かな電力のみで記憶を保持できるため、比較的大容量のキャパシタを電池交換中のバックアップとしたり、保存性のよい電池を組み合わせて不揮発性メモリのように利用したりといった利用法もある(特に後者はフラッシュメモリ一般化以前に、ゲーム機などのカートリッジ内のセーブデータ用に多用された)。 ランダムアクセスメモリ(Random Access Memory)ではあるが、ランダムアクセスだからそう呼ばれているのではないので本来の語義からはほぼ完全に誤用として、読み書き可能という意味で慣用的にRAMと呼ばれているものである、という点についてはDRAMと同様である。.

新しい!!: R4000とStatic Random Access Memory · 続きを見る »

UNIX

UNIX (ユニックス、Unix、)は、コンピュータ用のマルチタスク・マルチユーザーのオペレーティングシステムの一種である。公式な商標は「UNIX」だが、商標以外の意味として「Unix」、またはスモールキャピタルを使用して「Unix」などとも書かれる。Unixは1969年、AT&Tのベル研究所にて、ケン・トンプソン、デニス・リッチーらが開発を開始した。 当初はアセンブリ言語のみで開発されたが、1973年にほぼ全体をC言語で書き直した。このため、Unixは歴史上、初めて高水準言語で書かれたOSであると言われる。 1973年の段階ではPDP-11に依存したコードが多く、移植性は低かったが、その後徐々にPDP-11に依存したコードを減少させ、1978年にInterdata 8/32への移植に成功して以降、徐々に他のプラットフォームにも移植されていった。 現在では「Unix」という語は、Unix標準に準拠するあらゆるオペレーティングシステムの総称でもある。現在ではUnixシステムは多数の系統に分かれており、AT&Tの開発停止後も、多数の商用ベンダーや非営利組織などによって開発が続けられている。 1970年代から1980年代の初期にかけて、Unixは大学や研究所などの教育機関で広範囲に採用され、特にカリフォルニア大学バークレー校をオリジナルとするBSD系統が誕生した。また Version 7 Unix や UNIX System V の特徴を持つオペレーティングシステムは「伝統的なUNIX」(traditional Unix)とも呼ばれる。 2007年に、「UNIX」の商標の所有者である標準化団体のThe Open Groupは、Single UNIX Specificationを完全に満たすと認証を受けたシステムのみが「UNIX」の商標を得られるとした。このためそれ以外のシステムは(ずっと以前から、AT&T版およびBSD以外を指して使われていた用語だが)「Unixシステムライク」または「Unixライク(Unix系)」と呼ばれるようになった。ただし The Open Groupはその呼称を気に入っていない。 現在では多く使われているUnixとしてはmacOS、AIX、HP-UX、Solarisなどがある(いずれも商用)。また認証を受けていないUnix系としてはLinux(派生OSにAndroid他)やMINIX、BSDの派生OS(FreeBSD、NetBSD、OpenBSD、DragonFly BSDなど)がある。.

新しい!!: R4000とUNIX · 続きを見る »

UP4800

UP4800 は、日本電気(NEC)がかつて開発・製造していたUNIXサーバである。.

新しい!!: R4000とUP4800 · 続きを見る »

VRAM

VRAM (ブイラム, Video RAM)は、コンピュータなどにおける、ディスプレイに対するビデオ表示部分のメモリ(記憶装置)として使われるRAM。グラフィックスメモリまたはビデオメモリとも呼ばれる。専用のデュアルポートのものもあれば、メインメモリと同じDRAMやSRAMを利用したものもある。かつて、グラフィックス用フレームバッファの為に用意したメモリをG-RAMと表記していた時期もあるが、意味としては等価である。.

新しい!!: R4000とVRAM · 続きを見る »

X Window System

GNOME 2.28 KDE 4.x Xfce 4.6 X Window System(エックスウィンドウシステム、別称:「X11」・「X」など→名称については後述)とは、ビットマップディスプレイ上でウィンドウシステムを提供する表示プロトコルである。リファレンス実装として X.Org Server があり、標準ツールキットとプロトコルを提供し、Unix系オペレーティングシステム (OS) やOpenVMSなどでのグラフィカルユーザインタフェース (GUI) を構築するのに使われる。他の多くの汎用OSにも移植されている。.

新しい!!: R4000とX Window System · 続きを見る »

投機的実行

投機的実行(とうきてきじっこう、)とは、コンピュータに必要としないかもしれない仕事をさせることである。この性能最適化技法は、パイプライン化されたプロセッサなどのシステムで使われている Butler Lampson Microsoft Research OPODIS, Bordeaux, France 12 December 2006。.

新しい!!: R4000と投機的実行 · 続きを見る »

東芝

株式会社東芝(とうしば、TOSHIBA CORPORATION)は、日本の大手電機メーカーであり、東芝グループの中核企業である。.

新しい!!: R4000と東芝 · 続きを見る »

演算装置

演算装置(えんざんそうち)は、コンピュータ(プロセッサ)の構成要素のひとつで、論理演算や四則演算などの演算をおこなう装置である。.

新しい!!: R4000と演算装置 · 続きを見る »

浮動小数点数

浮動小数点数(ふどうしょうすうてんすう、英: floating point number)は、浮動小数点方式による数のことで、もっぱらコンピュータの数値表現において、それぞれ固定長の仮数部と指数部を持つ、数値の表現法により表現された数である。.

新しい!!: R4000と浮動小数点数 · 続きを見る »

日本電気

日本電気株式会社(にっぽんでんき、NEC Corporation、略称:NEC(エヌ・イー・シー)、旧英社名 の略)は、東京都港区芝五丁目(元・東京都港区芝三田四国町)に本社を置く住友グループの電機メーカー。 日電(にちでん)と略されることも稀にあるが、一般的には略称の『NEC』が使われ、ロゴマークや関連会社の名前などにも「NEC」が用いられている。 住友電気工業と兄弟会社で、同社及び住友商事とともに住友新御三家の一角であるが、住友の象徴である井桁マークは使用していない。.

新しい!!: R4000と日本電気 · 続きを見る »

64ビット

主な64ビットのプロセッサには以下がある。.

新しい!!: R4000と64ビット · 続きを見る »

ここにリダイレクトされます:

R4400

出ていきます入ってきます
ヘイ!私たちは今、Facebook上です! »